ModelSim SE 10.0a建立并调用Xilinx ISE 13.1仿真库详解

本文详细介绍了如何配置和使用ModelSim SE 10.0a与Xilinx ISE 13.1的仿真库。步骤包括安装软件、修改环境变量、使用compxlib命令编译库、设置ModelSim和ISE,以及编译仿真库。通过这些步骤,读者可以成功建立并调用Xilinx ISE的仿真库,进行有效的硬件描述语言(VHDL或Verilog)仿真。
摘要由CSDN通过智能技术生成

从网上搜到的有很多,实践过其中几种,其中一种较简单的方法如下:

1)安装ModelSim 和ISE 并注册破解;

2)将ModelSim根目录下的modelsim.ini文件的只读属性去掉。
3)将compxlib文件所在目录(即Xilinx安装目录\Xilinx\13.1\ISE_DS\ISE\bin\nt)添加到环境变量path中。
计算机——右键——属性——高级系统设置——环境变量——选择path——编辑
变量名:path
变量值:Xilinx安装目录\Xilinx\13.1\ISE_DS\ISE\bin\nt;
注:变量值之间用半角分号分开 ;
3)在ModelSim命令窗口(Modelsim下方Transcript)输入:(自己选择需求输入


compxlib -s mti_se -arch all -l all -w -lib all (所有语言都编译)
compxlib -s mti_se -arch all -l verilog -w -lib all(只编译verilog语言库)
compxlib -s mti_se -arch all -l vhdl -w -liball (只编译VHDL语言库)
按回车键,然后等待一段时间有可能是20+分钟,窗口有可能假死。(本人只测试过VHDL
这个命令

最后附有compxlib命令用法,大家自己研读一下。

4)将ModelSim根目录下的modelsim.ini文件设为只读。
5)完成上述步骤,重新启动modelsim,即可在libarary栏中看到已生成的Xilinx库。
6)启动Xilinx ISE
新建工程——Project Settings 需要选择一下仿真器(红框部分)。


之后继续设置完工程文件。
7)点击工具栏EDIT——Preference——ISE General下的Integrated Tools
在右侧的Mod

  • 2
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值