UVM:6.5.4 仅在virtual sequence 中控制objection

177 篇文章 136 订阅

1.sequence 中使用starting_phase 控制验证平台的关闭。

2.除了手工启动sequence 时为starting_phase 赋值外,只有将此sequence 作为sequencer的某动态运行phase 的default_sequence 时,其starting_phase 才不为null。

3.如果某sequence 作为uvm_do 的参数,那么此sequence 中的starting_phase 是为null 的。此sequence 中使用的starting_phase.raise_objection 是没有任何用的:



1) drv0_seq 中的starting_phase 为null,不会对objection 进行操作。

2)一般来说,在最顶层的virtual sequence 中控制objection。调度不只体现在transaction 上,也应该是objection 上。便于调试。


  • 2
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值