UVM:10.1.2 可变时钟

1.可变时钟有3种:

1)不同case 时钟不同。

2)同一case 不关心过渡期clk。

3)关心过渡期clk。


2.第一种,使用config_db:



top_tb 使用get:


1)my_case0 就是uvm_test_top。

2)使用非直线获取。my_case0的set 是设置给自己的参数,真正使用是在top_tb。

3)由于set 在0 时刻执行,如果get 也在0时刻,可能无法得到设置的数值,所以#1。


3.第二种:


测试用例随着时间不同:



4.上面很难实现第三种。需要专门编写时钟接口:


top_tb 中例化并引用:

  • 1
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值