UVM interface clocking 用法

本文探讨了在UVM验证环境中遇到的时钟采样问题。原有设计中,monitor通过等待cb.en有效后采集cb上的信号,但在实际运行中,采集的cb.dbgquasel信号始终为第一拍数据。通过调整repeat语句和采样点,解决了这一问题。作者发现cb的时钟晚于vif.clk,因此正确设置采样点至关重要。
摘要由CSDN通过智能技术生成

1:知识背景转载自[彩虹糖带你入门UVM] 第1节 验证组件之接口_信号 (sohu.com)icon-default.png?t=L892https://www.sohu.com/a/291199851_778637

2:问题描述:在现有testbeach的环境中,原有的a.if中定义了 名为cb的clocking,然后在monitor中,先wait到cb.en有效,再打4拍再去采集cb上的信号,方式为@(posedge vif.clk);采集来的cb.dbgquasel信号计算会得到BUS信号,因此,monitor会在repeat(1) @(vif.mon_mp.cb)打一拍之后,再去采集BUS信号用于scoreboard作比对;但是,错误原因是,第一次采集的cb.dbgquasel是和vif上一样的数据,repeat之后,数值仍不变,也就是说一直是先一拍的数据;测试规避了该问题点之后,在下一次采集数据过程中,cb.dbgquasel就可以早于vif.dbgquasel,也就是说没有问题;

3&#x

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值