arp协议在fpga上的一个小实验

上一篇在研究arp时,实在pc系统内进行。然后又做了个pc和fpga千兆以太网的通信。
大致硬件框架:
这里写图片描述
在fpga中根据以太网的传输格式,写一个简单IP核,只对arp协议做判断。
如下:这里写图片描述
因为88E1111使用的是RGMII接口,使用ddrio模块转换一下
如下:
这里写图片描述
在nios软件中将mac的ip地址和mac地址初始化一下,如下
这里写图片描述
在pc端,看看arp表
这里写图片描述
没有192.168.3.8
用ping命令来产生arp协议数据。
这里写图片描述
用wireshark抓包
这里写图片描述
成功
再看看arp表
这里写图片描述
看到已经缓存到arp表中。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值