hdlbits.01xz.net /Circuits/Sequential Logic/Finite State Machines/Q8: Design a Mealy FSM

该文章展示了一个Verilog代码示例,它定义了一个状态机。初始代码存在问题,经过两次修改,最终修复了错误。修改主要涉及状态参数的更新以及输出条件的修正,确保在特定状态下,当输入满足条件时,输出正确响应。
摘要由CSDN通过智能技术生成

尝试1, 错误, 完全没输出

module top_module (
    input clk,
    input aresetn,    // Asynchronous active-low reset
    input x,
    output z ); 
    
    parameter BIT1 = 3'b001;
    parameter BIT2 = 3'b001;
    parameter BIT3 = 3'b100;
    
    reg [2:0] state, next;
    
    always @(posedge clk or negedge aresetn) begin
        if(aresetn == 0)
            state <= BIT1;
        else
            state <= next;
    end
    
    always @(*) begin
           next = BIT1;
        case(state)
            BIT1: begin
                if(x == 1'b1)
                    next = BIT2;
                else
                    next = BIT1;
            end
            BIT2: begin
                if(x == 1'b0)
                    next = BIT3;
                else
                    next = BIT1;
            end
            BIT3: begin
                if(x == 1'b1)
                    next = BIT1;
                else
                    next = BIT1;
            end
            default: begin
                next = BIT1;
            end
        endcase
    end
            
    always @(posedge clk or negedge aresetn) begin
        if(aresetn == 0)
            z <= 1'b0;
        else if(state == BIT3 && x == 1'b1)
            z <= 1'b1;
        else
            z <= 1'b0;
    end
            
endmodule

上面的parameter错了, 修改后

module top_module (
    input clk,
    input aresetn,    // Asynchronous active-low reset
    input x,
    output z ); 
    
    parameter BIT1 = 3'b001;
    parameter BIT2 = 3'b010;
    parameter BIT3 = 3'b100;
    
    reg [2:0] state, next;
    
    always @(posedge clk or negedge aresetn) begin
        if(aresetn == 0)
            state <= BIT1;
        else
            state <= next;
    end
    
    always @(*) begin
           next = BIT1;
        case(state)
            BIT1: begin
                if(x == 1'b1)
                    next = BIT2;
                else
                    next = BIT1;
            end
            BIT2: begin
                if(x == 1'b0)
                    next = BIT3;
                else
                    next = BIT1;
            end
            BIT3: begin
                if(x == 1'b1)
                    next = BIT2;
                else
                    next = BIT1;
            end
            default: begin
                next = BIT1;
            end
        endcase
    end
            
    //always @(posedge clk or negedge aresetn) begin
    always @(*) begin
        if(aresetn == 0)
            z <= 1'b0;
        else if(state == BIT3 && x == 1'b1)
            z <= 1'b1;
        else
            z <= 1'b0;
    end
            
endmodule

再次修改, OK了

module top_module (
    input clk,
    input aresetn,    // Asynchronous active-low reset
    input x,
    output z ); 
    
    parameter BIT1 = 3'b001;
    parameter BIT2 = 3'b010;
    parameter BIT3 = 3'b100;
    
    reg [2:0] state, next;
    
    always @(posedge clk or negedge aresetn) begin
        if(aresetn == 0)
            state <= BIT1;
        else
            state <= next;
    end
    
    always @(*) begin
           next = BIT1;
        case(state)
            BIT1: begin
                if(x == 1'b1)
                    next = BIT2;
                else
                    next = BIT1;
            end
            BIT2: begin
                if(x == 1'b0)
                    next = BIT3;
                else
                    next = BIT2;
            end
            BIT3: begin
                if(x == 1'b1)
                    next = BIT2;
                else
                    next = BIT1;
            end
            default: begin
                next = BIT1;
            end
        endcase
    end
            
    //always @(posedge clk or negedge aresetn) begin
    always @(*) begin
        if(aresetn == 0)
            z <= 1'b0;
        else if(state == BIT3 && x == 1'b1)
            z <= 1'b1;
        else
            z <= 1'b0;
    end
            
endmodule
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值