【FPGA】【Verilog】【基础模块】触发器&锁存器

D触发器:

//D触发器
module dff(q,clk,data);
	output q;
	input data, clk;
	reg q;

	always @(posedge clk)
		begin
			q  = data;
		end

endmodule 

    带置位复位:

    

module dff2(q, qb, d, clk, set, reset);
	input d, clk, set, reset;
	output q, qb;
	
	reg q, qb;

	always @(posedge clk)
		begin 
			if(reset )
				begin 
					q = 0;
					qb = 1;
				end 
			else 
				if(set )
					begin 
						q  = 1;
						qb = 0;
					end
				else 
					begin 
						q = d ;
						qb = ~d;
					end 
		end 
endmodule 

锁存器:

    ()?():()实现:

//锁存器
module latch1 (q,data ,clk);
output q;
input data ,clk;

assign q = clk? data : q ;

endmodule

    ()?():()实现,带置位复位:

//锁存器
module latch2 (q ,data ,clk,set ,reset );
	input data ,clk,set,reset;
	output q;
	
	assign q = reset ? 0 : 
									( set ? 1 : 
													(clk ? data : q  ));
	endmodule 

    if实现:

module latch3(q,data ,clk);
	output q;
	input data ,clk;
	reg q;
	
		always @(clk or data )
			begin
				if(clk)
					q = data ;
			end 
endmodule
 

  • 2
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值