FPGA面试题【Verilog实现可预置初值的循环计数器】

题目

用你熟悉的设计方式设计一个可预置初值的7进制循环计数器

核心思路

实现预置计数器,当为7进制时,直接修改数据位宽为3bit即可。

答案

 

`timescale 1ns / 1ps
module counter77(
                clk,
                rst_n,
                set,
                data,
                out
                );
    input clk;
    input rst_n;
    input set;
    input [2:0]data;
    output reg[2:0]out;
    reg [2:0]cnt;
    always@(posedge clk or negedge rst_n)
    begin
        if(!rst_n)begin
            cnt<=0;
        end
        else if(set)
            cnt<=data;
        else 
            cnt<=cnt+1'b1;
    end
    always@(posedge clk or negedge rst_n)
    begin
        if(!rst_n)
            out<=0;
        else 
    
  • 2
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值