【数字IC前端】SystemVerilog“类”专题


以下内容整理自 路科验证 公众号,强烈推荐一波。

1. SV如何支持使用参数化类编写通用代码的模板?

SV如何支持使用参数化类编写通用代码的模板

2. 当工程师说"class"时,到底在说什么

class

3. 如何有效而正确的使用继承和多态性?

继承和多态性

4. UVM世界观:核心基类(上)

核心基类(上)

5. UVM世界观:核心基类(下)

核心基类(下)

6. 为什么要用类来做UVM的通信事务?

用类来做UVM的通信事务

7. 生成唯一覆盖类,以启用有意义的覆盖组

生成唯一覆盖类

8. 我跟你谈SV接口类,你却以为我跟你谈接口?

SV接口类

9. 通过可复用的随机策略类实现SystemVerilog约束分层

随机策略类实现SystemVerilog约束分层

  • 0
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值