#systemverilog# “类”翱翔在systemverilog的天空(Ⅰ)基础认知

目录

一 基础概念

1.1 类的定义

1.2 类的可定义范围

1.3 类的可作用域

1.4 类的调用、包装方式

1.5 类的解除分配

1.6 类的对象的拷贝

1.7 类的指针操作

1.8 类的指针操作

1.9 类的静态变量

1.10 类的静态方法

1.11 类的方法修饰符 extern 

1.12 类的预编译

1.13 类的虚类、虚方法

1.14 类的三大特性

1.15 类的回调

1.16 类的嵌套

二 总结


我们知道,Systemverilog 与Verilog HDL 的不同之处在于,它支持面向对象语言编程。那么,提到面向对象,自然少不了主角:class。今天总结一下 Systermverilog 中“类”的使用。目的:总结、归纳、加深对其的理解,灵活自如使用类。

一 基础概念

对于结构化编程语言来(例如:verilog和 c),它们的数据结构和使用这些数据的代码之间,有很大的沟壑。以至于对于一些较为庞大的工程项目来说,程序与数据,经常定义在不同的文件里面。而面向对象编程语言(OOP),将程序、程序操作的数据两者之间,紧密结合。从而实现更加抽象更加high level的编程。

1.1 类的定义

术语:

<1> 类的属性:变量、宏定义 define
<2> 类的方法:函数 function 、任务 task
<3> 句柄<

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

那么菜

你的鼓励和批评是我最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值