基于FPGA的千兆以太网TCP,UDP协议栈的实现

1 TCP server

79b2c13fd4934c19a3d99c0499511921.jpg

 

f00b7e0813274ecb8d7b0a17832f7798.jpg  4e76cad4b9f9485f82742357f527d228.jpg79ce946f72f449d38d1bde3141244066.jpg

 2 TCP client

4dcb48c6f6f74bb181d21b1cb883177e.gif

494f0ba6dec74b7c9476e6ba2b5bf3e5.jpg 

afc291104df843799b2586cbf691eea2.jpg 

 e5d98333b1e840e88699797d7aee46ec.jpg

 提供的服务,源码及例程。

欢迎咨询,taobao店铺 竹瑶电子

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值