RR调度器设计

RR调度器verilog

调度(Scheduling)又称流控/选择和分配。对队列连接(session)中的数据报文的服务顺序进行控制。

保证每条队列至少享有其预约的带宽

在系统有剩余带宽的情况下,在各队列连接间公平分享剩余带宽;

保证满足各条队列连接的时延要求;

尽可能高的利用物理出口带宽;

在系统业务层面则称为:QoS(服务质量)

RR调度是最常用的调度算法之一。

 

 

 

  • 0
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值