自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(35)
  • 资源 (17)
  • 收藏
  • 关注

原创 linux检测socket网络掉线keep-alive

在写TCP/IPServer程时候,发现有时候网线拔了,没有办法检测网络异常,最后在网上找到,用Keep-Alive感觉还不错。客户端程序异常,在服务端处理这个异常就可以了。网络链路异常如:网线拔出、交换机掉电、客户端机器掉电。当出现这些情况的时候服务端不会出现任何异常。这样的话上面的代码就不能处理这种情况了。如果您需要确定连接的当前状态,请进行非阻止、零字节的 Send 调用。如果该调用成功返回或引发 WAEWOULDBLOCK 错误代码 (10035),则该套接字仍然处于连接状态;否则,该套接字不再处

2020-08-31 18:00:16 1568

原创 adhoc网络的定义和应用

Adhoc网是一种多跳的、无中心的、自组织无线网络电脑c盘清理代码,又称为多跳网(Multi-hopetwork)、无基础设施网(Infrastructurelessetwork)或自组织网(Self-organizingetwork)excelaverage。整个网络没有固定的基础设施,每个节点都是移动的,并且都能以任意方式动态地保持与其它节点的联系。在这种网络中阿里旺旺登录不上怎么办,由于终端无线覆盖取值范围的有限性,两个无法直接进行通信的用户终端可以借助其它节点进行分组转发。每一个节点同时是一个路由

2020-08-31 02:49:01 3657

原创 linux 基本操作指令总结

一,文件系统和备份mkfs /dev/mmcblk1p1 在mmcblk1p1分区创建一个文件系统mke2fs /dev/mmcblk1p1 在mmcblk1p1分区创建一个linux ext2的文件系统mke2fs -j /dev/mmcblk1p1 在mmcblk1p1分区创建一个linux ext3(日志型)的文件系统mkfs -t vfat 32 -F /dev/mmcblk1p1 创建一个 FAT32 文件系统fdformat -n /dev/fd0 格式化一个软盘mksw

2020-08-30 23:22:04 788

原创 petalinux搭建SSH服务器

一,交叉编译openssh,需先编译zlib 和openssl。先下载:OpenssL 下载官网地址 https://www.openssl.org/source/ 点击连接https://github.com/openssl/openssl,下载ssh源码zlib 官网下载地址http://www.zlib.net/二,mkdir arm-ssh,cd arm-ssh,mkdir install然后解压,解压后如图cd zlib-1.2.3./configure ...

2020-08-30 02:30:42 1697

原创 ubuntu安装minicom和petalinux移植minicom

再按E(bps/par/bits),修改为115200 8N1 (波特率115200(输入E),数据位8(输入V),奇偶校验位N(输入L),停止位1(输入W)),设置传输的比特率等设置,然后按下回车;3,minicom-2.7 下载网址:http://alioth.debian.org/projects/minicom/cp /usr/share/terminfo/l/linux 到板子/data/bin/terminfo/l 目录。我的在/usr/share/terminfo/l中。

2020-08-29 23:14:31 956

原创 移植RT-rthread内核

在动态创建线程和初始化线程的时候,会使用到内部的线程初始化函数_rt_thread_init(),_rt_thread_init() 函数会调用栈初始化函数rt_hw_stack_init(),在栈初始化函数里会手动构造一个上下文内容,这个上下文内容将被作为每个线程第一次执行的初始值。显然,在 Cortex-M 内核里 rt_hw_context_switch() 和 rt_hw_context_switch_interrupt() 功能一致,都是在 PendSV 里完成剩余上下文的保存和回复。

2020-08-27 11:25:56 1859 1

原创 hls图像处理相关操作

在edge_detector(ustream_t &src, ustream_t &dst, unsigned char threshold)函数中,threshold为将结果二值化的配置,为 0 时不进行二值化,其它值时为二值化的阀值。首选将RGB图像转换为灰度图grayimg,讲grayimg分成两份split0、split1分别进行水平和垂直方向的卷积运算,得到sobelImg_x和sobelImg_y,将它们叠加为sobelImg,然后将其二值化,转为RGB输出。2,hls ip核代码。

2020-08-21 15:34:20 5178 1

原创 7 系列 Microblaze bootloader

QSPI 核的设置,这里选用QUAD模式,设备选择Micron,时钟源提供40M的ext_spi_clk,二分频后送出 20M 时钟给 flash;烧写Flash,请使用flash烧写方式 1, vivado烧写2,spi 烧写补丁 3) procise烧写(0527版本以后),烧写完成以后,调整到Master SPI模式上板测试通过。继续在xilisf库的设置中选择正确的flash厂商和interface,例子中选择5和1,代表Micron的器件,AXI SPI核。JFMK50T4 demo板(Xid)

2020-08-21 15:21:16 3110

原创 ZYNQ petalinux中PCIE读写NVME固态硬盘

调用AXI Memory Mapped To PCI Express IP核,对7 Series Integrated Block for PCI Express进一步封装,使用Example Design直接运行,但需要添加DMA IP核实现DMA数据传输。PCIE采用了全双工的传输设计,即允许在同一时刻,同时进行发送和接收数据。如下图所示,设备A和设备B之间通过双向的Link相连接,每个Link支持1到32个通道(Lane)。由于是串行总线,因此所有的数据(包括配置信息等)都是以数据包为单位进行发送的

2020-08-21 14:08:49 10540 6

原创 verilog reg和wire和assign语法

若输出端口在过程块中赋值则为reg型,若在过程块外赋值则为net型。要是出现不能给input赋值,那么就reg产生一个输出,与它进行封装连接,直接操作reg就可以了;在理解上,相当于一个逻辑之后直接连了一条线,这个逻辑对应于表达式的右侧,而这条线就对应于wire。在过程赋值语句中,表达式右侧的计算结果在某种条件的触发下放到一个变量当中,而这个变量可以声明成reg类型的。wire表示直通,即只要输入有变化,输出马上无条件地反映,线信号;2、{{ }}表示复制,{4{a}}等同于{a,a,a,a};

2020-08-20 09:55:29 14235 1

原创 RTthread与linux核间通信并在线升级RTthread

使用env软件执行scons --useconfig=amp_config确保 RT-Thread 处于amp模式,然后使用menuconfig开启matrix_multiply_demo相关宏。7,RKDevTool烧写到RK板子:系统运行起来,在linux端执行 rpmsg_matrix_multiply,在RT-Thread端执行rpmsg_matrix_multiply_demo应用程序可以看到矩阵数据传输及运算都正常。先编译RT-Thread源码,并使用打包工具生成待更新固件。

2020-08-19 22:50:51 6915 2

原创 卫星数据高动态捕获

通过不断调整当本地码与卫星信号的相对延时对其应用Bayer模板,得到每个像素只有一个颜色通道的二维矩阵彩色图形显示利用Bayer模板图像经过色彩内插后的图像unsigned int bayer2rgb(hls::Window<3,3,HLS_TNAME(HLS_8UC1)> &core_win, int i, int j){ unsigned int value,r,g,b; #pragma HLS INLINE if(i%2==1) {...

2020-08-19 18:36:03 2574 4

原创 复旦微zynq启动方式总结

FLASH模式(级联):MIO5(DQ3)+3.3V(R134)相连debug模式(独立):MIO5(DQ3)+GND(R132)相连QSPI Flash的存储空间有限,为了加载与引导更大的镜像文件,FSBL支持在QSPI Mode情况下从eMMC flash上加载除FSBL之外的其他镜像文件。用户需要使能"fmsm_ps_parameters.h"文件中的MMC_SUPPORT宏定义。将只有FSBL的镜像烧写在QSPI Flash中,将BOOT MODE PIN拨至QSPI mode,上电后 。。。。

2020-08-19 18:17:32 2528

原创 windows配置为可以同时访问网线和路由

1,内网可以ping通其它任意主机,外网也连接,但打开浏览器,不能上网;如果拔掉网线,外网就可以上网。内网:与网线相连的网外网:由笔记本的无线网卡连接到路由器,自动获得的p地址地址: 192.168.43.130掩码: 255.255.255.0网关: 192.168.43.12,输入route printcmd -> ipconfig /all,查看无线局域网或以太网的默认网关;cmd -> route print ,查看最上面 0.0.0.0 路由对应的网关就是

2020-08-17 13:15:42 510

原创 在Linux 中设置开机启动的优先级顺序

一、什么是INIT:所谓的init进程,它是一个由内核启动的用户级进程。内核自行启动(已经被载入内存,开始运行,并已初始化所有的设备驱动程序和数据结构等)之后,就通过启动一个用户级程序init的方式,完成引导进程。所以,init始终是第一个进程(其进程编号始终为1)。内核会在过去曾使用过init的几个地方查找它,它的正确位置(对Linux系统来说)是/sbin/init。如果内核找不到init,它就会试着运行/bin/sh,如果运行失败,系统的启动也会失败。二、运行级别简单的说,运行级就是操作系统

2020-08-15 12:28:13 4136

原创 petalinux设置自动获取永久IP

情形:zynq petalinux在开机自启动以后ifconfig设置ip,然后运行应用程序,如果程序运行过程中挂掉,在系统自启动系统/project-spec/meta-user/recipes-apps/startupip/files目录下的文件设置果的IP 或者自启动脚本中设置的IP 都会消失,然后再也ping不通了,为了解决这个问题只能重新上电运行,如果设备在很远的地方或者不容易进入的地方就会很不方便,解决办法如下方法一:将IP编译到系统1,cd 到项目工程目录下,运行命令:peta..

2020-08-15 11:28:06 1799 1

原创 ZYNQ lwip协议栈phy芯片自协商连接速度

协议栈中断事件每250ms监测一次Link状态。由于Xilinx官方仅对前述三种厂家的phy芯片做了高匹配度的底层驱动,待首次判定LinkUp后,次回中断事件XEmacPs_PhyRead();方法读取链路状态仍为LinkDown,则触发ARM网口物理层配置复位,主动发起新一轮协商回报LinkUp。随机重复执行数次后保持Up稳定状态,网口收发正常。若重新识别次数超过固定次数则结束等待,确定链路状态为LinkDown。该状态保护方案需通过反复测试,协调phy芯片握手信号回写寄存器的时延与保护机制超时阈值phy

2020-08-13 14:04:00 3983 1

原创 Windows下SSD安装验证步骤

Windows-Faster-rcnn安装全过程一,软件版本及硬件型号1软件版本Win7、CUDA8、CUDNN5.1 for CUDA8、opencv2.4、VS20132硬件型号I7700K、内存16G、固态硬盘500G、华硕猛禽1080ti3, win7系统安装过程选择光盘安装或U盘安装都可,注:win7系统和win10系统的faster-rcnn安装过程应该是一样的在涉密机上安装时,需要安装USB3.0驱动,使用华硕主板的光盘驱动安装。二,安装NV...

2020-08-11 23:54:48 1902

原创 linuxCNC RS274架构详细介绍

从中间数组的内容通过sscanf函数获取回一个dobule类型的数据,放大10倍后通过调用fix函数进行取整,以该整数为下标对所有支持G代码数组(1000个,-1表示不支持的G代码,其他有效值表示隶属的G代码组编号)进行取值,判定是否为-1,-1表示不支持的G代码操作,返回错误代码。图中是输出的规范函数的部分实现。除了上述的MDI方式使用G代码解释器外,还可以通过传入G代码文件,进行调用,调用结束后会返回处理后的G代码到屏幕,也可以同时传入G代码文件和生成的数据的保存文件名,实现输出到文件中。

2020-08-11 23:15:32 2380 1

原创 FPGA高速QDR IP功能和调用

Quad Data Rate II+存储装置是QDR SRAM系列存储器最新成员,其数据率可达250 MHz以上。Quad Data Rate II+系列SRAM是用于高带宽、低延迟应用的理想选择。读、写端口独立工作,设计人员可使用其最大带宽且无须担心其它存储器件常见的总线冲突问题。QDR II+存储器件的高带宽和低延迟特性使得其在高宽带应用中成为查找表、链接清单和控制器缓冲存储器的常用存储器。1,QDR IP核的输入输出信号。2,QDR IP核例化。

2020-08-11 22:27:15 3338 1

原创 TCP/UDP网络编程客户端和服务器传输结构体数据代码

*Port number(必须要采用网络数据格式,普通数字可以用htons()函数转换成网络数据格式的数字)*/sin_port存储端口号,在linux下,端口号的范围0~65535,同时0~1024范围的端口号已经被系统使用或保留。在TCP连接中必须要明确客户端与服务器端,由客户端向服务端发出连接请求,每次连接的创建都需要经过“三次握手”。(3)连接socket和通信地址,使用connect函数。(3)连接socket和通信地址,使用connect函数。(1)创建socket,使用socket函数。

2020-08-11 21:45:34 2347 1

原创 vivado 2017.4和vitis2019.2安装步骤

xilinx官网下载地址为:https://www.xilinx.com/support/download.html下载完解压后,如图所示进入Xilinx_Vivado_SDK_2017.4_1216_1,双击xsetup开始安装windows安装Vitis 软件vivado2019.2下载链接:连接开发板和下载器,使用“Open Hardware Manager”测试,正常情况下可以发现芯片,说明 Vivado 和下载器驱动安装成功

2020-08-11 00:16:25 17879 4

原创 AD9914数字斜坡模式使用

在数字斜坡调制模式中,调制的DDS信号控制参数由数字斜坡发生器(DRG)直接提供。通过控制斜坡发生参数,用户可以控制斜坡的上升和下降斜率。,1,DRG的斜坡特性参数可完全编程。包括:可以通过编程实现控制斜坡的上限值,下限值,,正/负斜率斜坡的步长和步率单独控制。斜坡方向由DRCTL引脚控制。此引脚上逻辑0可使DRG生成负斜率斜坡,逻辑1产生正斜率斜坡。另外,DRG还支持由DRHOLD引脚控制的保持功能。当此引脚设为逻辑1时,DRG停留在最后的状态中;否则,DRG会正常工作。非DRG目的位定义.

2020-08-09 11:10:30 3144 2

原创 Cadence 查找元件和网络操作方法

1、打开OrCAD原理图dsn文件 要是在整个文档中查找元件,单击.dsn文件选择整个文档; 要是在 单个Page页面中查找元件,单击选中该Page页面或者双击进入该页面。2,在Search工具栏的文本框中输入元件位号:点击在Search工具栏的下拉箭头只勾选Parts,其它的不要勾选。3,输入元器件名称,按回车键。此时在屏幕下方的“Find window”窗口将显示查询元件的相关信息,在原理图中的元件会被高亮选中。通过以上方法在Search工具栏的下拉箭头使用筛选功能,勾选不同..

2020-08-02 23:46:04 9722 2

原创 Cadence 元件位号重排与更新

1,打开并选中DSN文件,然后右键选择Anotate。 或者 打开View菜单选项,选择Anotate。2,按照如图所示勾选:ACTION选择reset part reference to "?",然后点确定3,继续右键选择Anotate 或者打开菜单栏中view->Anotate。选择unconditional referencd update,然后点击确定...

2020-08-02 23:34:15 7105

原创 Cadence 将原理图导出PDF格式

1,安装“adobe pdf 虚拟打印机”软件2,打开Cadence .DSN原理图文件,菜单栏File中选择Print setup3,打印设对话框中找到安装的虚拟打印机名称,设置打印纸张方向,大小4,设置完打印属性打开File菜单栏下的Print 或者 按住"Ctrl+P”进行打印。5,Prin对话框中保持默认选项,直接点击确定进行打印输出软件会弹出PDF文件保存对话框,选择路径保存...

2020-08-02 12:25:29 19166 1

原创 Cadence Allegro中设置字体和大小

1,设置丝印字体的粗细方式 选择Setup菜单栏下Design Parameter选项选择Text选项,然后再选择Setup Text Sizes字体字号设置操作步骤如图Height:字符高度Width:字符宽度Line Space:字符行间距Photo Width:字符丝印线text blk:字体编号Char Space:字符字体间的间距2,修改丝印字体方式选择Edit菜单栏的change命令在右边Options侧边栏中,调整Text .

2020-08-02 12:15:55 10366

原创 Cadence 如何创建元件库与元件

1,打开Candance,选择菜单File—New—Library,创建元件库2,软件会创建一个带olb后缀路径的库文件夹,选中元件库文件(新建olb后缀文件),右键选择New Part新建元件3创建ZYNQ芯片为例Name:新建元件的名称,如ZYNQ,LM324、8050等。Part Reference Prefix:新建元件的序号开头字母,如L、U、R、C等。PCB Footprint:新建元件的封装名,如SOP16、S0T23、R0603等。Multiple - Part

2020-08-02 11:20:10 13273 1

原创 Altium Designer原理图转Candance原理图

1, 使用工具:Altium Designer 19、OrCAD16.6 使用Altium Designer 19打开一个AD创建的PCB工程,File——Open——Project——PCB Project 或者使用Altium Designer 19新建一个PCB工程,File——New——Project——PCB Project2,将要导出的原理图添加在该PCB工程下,然后有选中工程文件右键进行编译,将Altium Designer原理图另存为“Advanced ...

2020-08-02 10:43:15 4419

原创 Cadence Capture自带元件库说明

Cadence 16.6X自带元件库存放路径:X:\Cadence\Cadence_SPB_16.6\tools\capture\libraryAMPLIFIER.OLB共182个零件,存放模拟放大器,IC,如MAX457,LM386。ARITHMETIC.OLB共182个零件,存放逻辑运算IC,如74HC147,54HC147。ATOD.OLB共618个零件,存放A/D转换IC,如AD7580,ADC08031。CONNECTOR.OLB共816个零件,存放连接器,如CONN PWR

2020-08-02 10:15:23 3904 1

原创 Cadence 原理图栅格设置步骤

1、打开DSN原理图,在菜单栏Options选项下的Preferences。2、CANDANCE原理图栅格有两种显示效果,一种是点状,一种是线状,选择Grid Display,设置如下:visible:栅格显示开关;Grid Display:Dots是点状设置,Lines是线状设置;Grid spacing:是栅格的间距设置。...

2020-08-02 10:07:23 19252 5

原创 Cadence PCB设置封装库路径的方法

1.在“Setup”下拉栏下选择最后一项“User Preferences”,在“paths”中选择“Library”,里面有三个指标需要关心:“devpath”、“padpath”、“psmpath”。2. 最好把焊盘文件和封装文件放在一个文件夹下,按如下设置 (1)“devpath”:这是第三方网表(other方式导出的网表),这项可以不管; (2)“padpath”:PCB封装的焊盘存放的路径; (3)“psmpath”:PCB封装焊盘中使用的Flash文件、PC...

2020-08-02 09:52:17 10951

原创 Cadence 电源端口的网路标号设置显示与隐藏

Cadence电源端口的网路标号打开与隐藏网络标示1,在绘制原理图时,在放置一些电源端口总是会发现GND端口没有显示网络标识; 要是整个原理图工程只一个GND网络,此时GND的网络端口显示与不显示都是一样的。 如果原理图中有很多个不同的GND网络时,GND端口没有显示出来将会不方便设计原理图,容易当成是同一个网络; 如果我们区分那些是模拟地和数字地,就要把GND端口的网络显示出来,从而提高原理图的可读性。 如图所示就是软件默认隐藏网络标标示GND端口。...

2020-08-02 09:39:02 4135

原创 Cadence 设置交互式布局方法

1,FILE ->open ->Design ->.dsn 开头的文件;然后选中这个要打开的.dsn文件2,打卡菜单栏Options选项下的Preferences3,在Preferences对话框中勾选Enable Intertool Communication选项。

2020-08-02 00:53:16 2763

原创 Cadence导出Excel格式BOM表

1.打开需要导BOM的 .DSN文件原理图。2.点击工具栏Tools,再点击Bill of Materials > Open in Excel (✔)> OK。勾选Open in Excel,Header填写:Item\tQuantity\tReference\tPart,Combined property string填写{Item}\t{Quantity}\t{Reference}\t{Value}。可以根据需要调整Item,Quantity,Reference,Value.

2020-08-02 00:42:08 8766

首次使用HS3内置JTAG,需要用批处理一下,最好在cmd下去运行

首次使用HS3内置JTAG,需要用批处理一下,最好在cmd下去运行

2023-11-28

decode-video-h264-h265

能解H264和H265视频格式

2023-03-31

subomb programFlash-tcl

subomb programFlash_tcl,一键式固化程序

2023-03-31

OMAPL138中DSP的UPP接口代码

OMAPL138中DSP的UPP接口代码

2022-10-18

串口在线升级代码,PS操作的

update,uart

2022-10-18

C语言指针原理用法和代码

一级二级指针,各种字符串函数用指针实现,传参,指针函数相关

2022-10-18

线程加锁程序,pthread-mutex-t

pthread_mutex_t mutex; pthread_mutex_init(&mutex,NULL); pthread_mutex_lock(&mutex); pthread_mutex_unlock(&mutex); pthread_mutex_destroy(&mutex);

2022-10-18

基于udp协议的通信模型udpServer.c和udpClient.c

服务器: (1)创建socket (2)通信地址 (3)绑定socket和通信地址,使用bind函数 (4)监听,使用listen函数 (5)响应客户端的连接请求,使用accept函数 (6)进行通信 (7)关闭socket

2022-10-18

阻塞IO驱动代码和makefile

//向系统注册一个字符设备 cdev_add(&bio_dev.cdev, bio_dev.devno, 1); //MIO_PIN_50申请GPIO口 ret = gpio_request(MIO_PIN_50, "key"); //将原子变量置0,相当于初始化 atomic64_set(&bio_dev.state, 0);

2022-10-16

AsynchronousIO,异步IO驱动代码和makefile

//指定信号SIGIO,并绑定处理函数 signal(SIGIO,aio_async_func); //把当前线程指定为将接收信号的进程 fcntl(fd,F_SETOWN,getpid()); //获取当前线程状态 fcntl(fd, F_GETFD); //设置当前线程为 FASYNC 状态

2022-10-16

非阻塞IO驱动和makefile

在linux中常见IO复用的方法有select、poll和epoll。可以使用selectpoll和epoll中的任何一种,对于高并发的场合只使用epoll这一种。另外有别于阻塞IO,非阻塞IO的查询与读取是分开的,即先查询再读取,只有查询阶段是非阻塞的

2022-10-16

PL-PS的中断驱动程序,带makefile

#已经编译过的内核源码路径 KERNEL_DIR = /home/kernel export ARCH=arm export CROSS_COMPILE=arm-linux-gnueabihf- #当前路径 CURRENT_DIR = $(shell pwd) MODULE = interrupt

2022-10-16

vivado2017.4 扩展多网口多串口

vivado2017.4 扩展多网口axi_ethernet+DMA 和 多串口axi_uartlittle

2022-10-16

88E151X 芯片手册,phy配置

phy配置,88E151X 芯片手册

2022-10-16

vivado(2014+2016+2017+2018+2019+2020都能使用) SRIO licence

vivado licence with SRIO function+ISE licence; ISE,Vivado2016 2017 2018 2019 2020都能使用。

2022-10-16

ZYNQ AD9361的裸机SDK配置代码

ad9361_get_en_state_machine_mode(ad9361_phy, &ensm_mode); ad9361_get_en_state_machine_mode(ad9361_phy, &ensm_mode); ad9361_get_en_state_machine_mode(ad9361_phy, &ensm_mode);

2022-10-15

QPSK调制解调代码MATLAB仿真

[~,bo]=max(cor_abs(1:length(cor_abs)/2)); index_s=bo-N+1; index_e=index_s+len_frame-1; out_signal=signal(index_s:index_e);

2022-10-15

BPSK调制解调MATLAB代码

m=length(connections);%移位寄存器的级数 %其他位等于前边的寄存器值加上连接值乘寄存器最后一位 end registers=new_reg_cont; seq(i)=registers(m);%经过一次循环寄存器输出一位得到m序列的其他位

2022-10-15

16QAM 是正交移幅键控调制

16QAM 是正交移幅键控的一种调制方式,有很高的频道利用率。正交移幅键控信号 是一种载波键控信号,它有同相和正交两路载波,以幅度键控方式独立地传送数字信息。

2022-10-15

单载波频域均衡(SC-FDE) 的设计

多载波调制本质上是一种频分复用技术。频分复用(FDM)技术早在 19 世纪以前就已 经被提出,它把可用带宽分成若干相互隔离的子频带,同时分别传送一路低速信号,从而 达到信号复用的目的。

2022-10-15

全数字QAM调制解调收发代码

1. 理解 QAM 调制的一般原理 2. 掌握数字调制的一般流程 3. 掌握全数字接收机的设计方法 4. 理解同步的概念

2022-10-15

2PSK调制解调原理,MATLAB代码

相移键控是利用载波的相位变化来传递数字信息,而振幅和频率保持不变。在 2PSK 中,通常用初始相位为 0 和π分别表示二进制‘1’和‘0’。

2022-10-15

2FSK调制解调实验,MATLAB代码

2FSK 信号常用的解调方法是采用相干解调和非相干解调。其解调原理是将2FSK信号 分解为上下两路 2ASK 信号分别进行解调,然后进行判决。

2022-10-15

2ASK调制解调实验,数字信号的键控调制

2ASK又称为二进制启闭键控(On-Off Keying),它是以单极性不归零码来控制载波的开启和关闭,而其频率和初始相位保持不变。

2022-10-15

极速PDF阅读器reader

极速PDF阅读器,fast PDF reader

2022-09-28

.vimrc,对ubuntu中格式进行配置

在虚拟机中对ubuntu中格式进行配置的.vimrc,五颜六色的。

2022-09-28

网口速度测试,数据保存软件

网口速度测试,和数据按不同端口保存软件

2022-05-04

串口助手和网口助手下载

串口网口助手自己选择,常用的版本

2022-05-04

windows 64位向日葵服务端2022版下载

电脑远程协助,远程开机,远程控制,windows 64位向日葵服务端2022版下载

2022-05-04

windows 64位向日葵客户端2022版

电脑远程协助,远程开机,远程控制,windows 64位向日葵客户端2022版下载

2022-05-04

xilinx_axidma.zip

AXI_DMA petalinux官方驱动配置文件

2021-08-09

insert_imaginary_data.v

中间传输模块

2021-08-02

ad7606.v模块verilog代码

FPGA AD7606 300K 8路采集,AXI传输数据

2021-08-02

PL_ad7606_vivado.zip

vivado2017.4工程,ZYNQ PL采集AD7606,做FFT

2021-04-07

mqtt_client.exe

mqtt_client.exe 上位机,GO语言写的

2021-03-10

emqx-windows-4.2.7.zip

emqx-windows-4.2.7.zip,mqtt服务器

2021-03-10

mqtt-demo-linux-embed.tar.gz

mqtt 应用程序交叉编译

2021-03-10

paho.mqtt.-master.tar.gz

编译mqtt使用的库

2021-03-10

logger.zip

logger_->info logger_->error logger_->warn 在linux C++中logger日志的用法

2020-04-28

axidma.zip

AXI_DMA在传输数字,图片的测试程序和驱动程序,在petalinux下编译,然后拷贝到sd卡insmod axidma.ko

2020-03-23

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除