自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(21)
  • 资源 (17)
  • 收藏
  • 关注

原创 ZYNQ 通过GP总线读取PL端RAM存储的数据

一,RAM介绍1,BRAM (Block Memory)是zynq PL端的存储RAM单元,可以配置为双口RAM,用于实现Zynq中PS端到PL端的数据交互和共享,也就是将数据写入共同可访问的数据空间,PS和PL端各自访问来达到信息交流的目的,类似为Linux中的内存共享。2,SRAM介绍(双端口RAM结构) Xilinx的ZYNQ内部FPGA是virtex7系列,内部有32KB的Block Memory,可以用它作为ROM,Single-Port RAM, Dual-Port...

2021-04-26 01:47:18 1954

原创 总结一些常用的硬件常识

频率补偿是为了改变频率特性,减小时钟和相位差,使输入输出频率同步 相位补偿 通常是改善稳定裕度,相位补偿与频率补偿的目标有时是矛盾的 不同的电路或者说不同的元器件对不同频率的放大倍数是不相同的,如果输入信号不是单一频率,就会造成 高频放大的倍数大,低频放大的倍数小 ,结果输出的波形就产生了失真 放大电路中频率补偿的目的 :一是改善放大电路的高频特性,二是克服由于引入负反馈而可能出现自激振荡现象,使放大器能够稳定工作。电源的供电功率要大于设备需求的供电值,保证电源在工作状态下,是有冗余。

2021-04-25 02:27:13 550

原创 ZYNQ 移植IGH etherCAT主站

本方案基于Zynq平台的EtherCAT主站实现,系统采用改进后的实时linux系统,即在标准的linux操作系统内核中嵌套进一个微小、实时内核,改造成具有双内核的异构系统,所有实时任务都运行在微内核上,非实时的Linux 则作为实时内核的一项优先级最低的任务来托管所有的非实时任务,采用Linux3.8.13和Xenomai2.6.3。cp _install/modules/ec_master.ko /lib/modules/4.9.0-xilinx-g7645980/ //模块。

2021-04-25 01:11:53 1418

原创 ZYNQ PL触发PS中断函数

部分 PL 到 PS 部分的中断,经过中断控制分配器(ICD),同时进入CPU1和CPU0。查询下面表格,可以看到PL到PS部分一共有20个中断可以使用。4 个快速中断(PPI),即IRQF2P[19:16];16个共享中断(SPI),即 IRQF2P[7:0],IRQF2P[15:8],16个中断可以任意定义。中断一共三种类型:软件中断,私有中断和共享外设中断。前面的定义中找到 INT_TYPE_MASK 的值#define INT_TYPE_MASK 0x03。

2021-04-24 10:12:48 8447 4

原创 复旦微ZYNQ procise axi读取adc数据

复旦微ZYNQ procise axi读取adc数据加入 Vivado 生成的 system.bd 文件与 PS 的 IP 配置(.xci)文件 (1)Block Design 文件(.bd)路径是:{prj_name}\{prj_name}.srcs\sources_1\bd\{bd_name}\ {bd_name}.bd新建一个空的 Procise 工程,取名 Procise_xadc,器件选择 fmql,package选择fcbga900

2021-04-23 01:44:01 3130 1

原创 跟踪雷达点迹处理

1,点迹定义:假设研究对象为位置x和速度v,预测值是根据这一时刻的x值、v值来预测下一时刻的x、v;测量值是根据传感器也就是雷达得到的,因为各种误差的存在,这两个值都不准确,所以把他们加权融合,得到一个新的量—状态值。点迹就是所谓的测量值,由雷达对同一个目标探测到的一系列点(假设目标静止,但由于误差的存在,会扫描出不止一个点),航迹对应状态值,是点迹和预测轨迹的加权融合。2,雷达信号和点迹处理关系:3,点迹航迹处理流程4,仿真clear all; RealData=G

2021-04-19 03:00:29 9856 5

原创 信号处理之CFAR恒虚警检测

恒虚警检测器首先对输入的噪声进行处理后确定一个门限,将此门限与输入端信号相比,如输入端信号超过了此门限,则判为有目标,否则,判为无目标。一般信号由信号源发出,在传播的过程中受到各种干扰,到达接收机后经过处理,输出到检测器,然后检测器根据适当的准则对输入的信号做出判决。雷达干扰包括接收机内部的热噪声,杂波剔除起始是M,杂波剔除结束是N,如果N≥M,表示的是杂波剔除范围[M,N];如果N<M,表示的是杂波剔除范围[0,N]∪[M,ABINS],其中ABINS是多普勒维的最大值。多普勒维检测波门的格式

2021-04-19 02:19:02 14987

原创 信号处理之目标检测

一,脉冲多普勒(PD处理)多普勒效应:fd=2v/c*f0,v为镜像速度;慢时间维上的采样点做FFT可以测出目标的速度;使用复信号:频率正负可测量目标速度的方向;clc;clear all;close all;f0=10e9;%载频tp=10e-6;%脉冲宽度B=10e6;%带宽fs=100e6;%采样频率R0=3000;%初始距离c=3e8;%光速R=4500;%距离波门 gate=R+tp*c/2;%距离波门加脉宽对应距离N=round(2*gate/c*fs);%

2021-04-17 23:33:34 4453 10

原创 信号处理之加窗

一,概念信号的截取产生了能量泄漏,而用FFT算法计算频谱又产生了栅栏效应,在FFT分析中为了减少或消除频谱能量泄漏及栅栏效应可采用不同的截取函数对信号进行截短,截短函数称为窗函数,简称窗。二,加窗仿真%%%%%%%%%%%%%%%%%%%%%%%% 窄带加窗处理 %%%%%%%%%%%%%%%%%%%%%%%%clc;clear all;close all;f0=10e9;%载频 B=10e6;%信号带宽 tp=10e-6;%脉冲宽度

2021-04-17 23:14:25 9645 4

原创 信号处理之宽带的匹配滤波

一,匹配滤波原因匹配滤波又叫去斜处理,去斜处理“有源相关”,通常用来处理极大带宽的LFM波形(如果直接采样的话因为频带很宽所以在高频的时候需要的采样率就很大,采样点数就很多,所以要经过去斜处理)。为了解决传统单频脉冲面临的作用距离和空间分辨率之间的矛盾,脉冲压缩技术一般采用:发射宽度相对较宽而峰值功率低的脉冲,使信号有足够的能量以保证作用距离;接收时做匹配滤波,将底峰值的宽脉冲压缩成高峰值的窄脉冲,避免脉冲重叠现象,从而提高空间分辨率。二,匹配滤波匹配滤波原理:匹配滤波器

2021-04-17 23:01:51 11860 3

原创 vivado实现FFT和IFFT信号处理

一,FFT的物理意义 FFT是离散傅立叶变换的快速算法,可以将一个信号变换到频域。有些信号在时域上是很难看出什么特征的,但是如果变换到频域之后,就很容易看出特征了。这就是很多信号分析采用FFT变换的原因。另外在频谱分析方面,FFT可以将一个信号的频谱提取出来。一个模拟信号,经过ADC采样(采样频率要大于信号频率的两倍)之后,就变成了数字信号。采样得到的数字信号,就可以做FFT变换了。N个采样点,经过FFT之后,就可以得到N个点的FFT结果。为了方便进行FFT运算,通常N取2的整数次方。 ...

2021-04-15 01:11:43 6964 13

原创 MTI动目标指示和MTD动目标检测

MTD(moving targets detection)雷达系统中区分运动目标和杂波在于它们速度上的差别。由于速度不同而引起回波信号的多普勒频率不相等,所以可以通过多普勒频率的不同将杂波和运动目标区分开来。动目标检测(MTD)不仅能滤除杂波,而且还可以将不同运动速度的目标区分开来,从而大大改善了在杂波背景下检测运动目标的能力。后续补充...

2021-04-14 23:57:56 26680 19

原创 信号处理之脉冲压缩

一,脉冲压缩的背景随着飞行技术的飞速发展,对雷达的作用距离、分辨能力、测量精度和单值性等性能指标提出越来越高的要求。测距精度和距离分辨力对信号形式的要求是一致的,主要取决于信号的频率结构,为了提高测距精度和距离分辨力,要求信号具有大的带宽。而测速精度和速度分辨力则取决于信号的时域结构,为了提高测速精度和速度分辨力,要求信号具有大的时宽。除此之外,为提高雷达系统的发现能力,要求信号具有大的能量。由此可见,为了提高雷达系统的发现能力、测量精度和分辨能力,要求雷达信号具有大的时宽、带宽、能量乘积。但是,在系统

2021-04-14 23:39:14 33571 9

原创 信号预处理流程

一,直流抑制直流抑制通过带通滤波器对3或2通道中频IQ信号进行直流偏置量的抑制。具体要求如下:功能要求:对于零中频信号不做处理,采用直通模式;对于18MHz中频信号做直流抑制处理; 输入通道数:3或2通道,每个通道包含IQ两路; 滤波器系数选择:响应主控命令,加载相应的滤波器系数;二,数字下变频数字下变频完成3或2通道高速中频IQ信号到低速基带IQ信号的变换。具体要求如下:功能要求:对于零中频信号不做处理,采用直通模式;对于18MHz中频信号做数字下变频处理; 输入通道数:3或2通道

2021-04-14 22:53:15 4845

原创 脉冲雷达信号处理流程

1,FPGA AD采集回波:连续M个脉冲回波经过相干解调之后的基带数据形成的一个二维数据矩阵,上图只是雷达三维数据块的一个切面,其中数据的每一行代表的是对同一个距离单元的一连串脉冲测量,每一列对应的是一个脉冲回波的连续采样,也叫连续的距离门,其中每个小方块的元素都是一个复数,代表每个个距离单元的I,Q分量。2,IQ路信号进行数字下变频处理:核心是将中频 A /D 采样信号与 DDC中的数字控制振荡器 (NCO )产生的本地数字中频载波信号进行混频 ,将中频信号下变频到基带。3,滤波处理

2021-04-13 22:05:54 9846 1

原创 ZYNQ AXI总线时序调试

一,概述总线是一组传输通道,是各种逻辑器件构成的传输数据的通道,一般由数据线、地址线、控制线等构成。接口是一种连接标准,又被称为物理接口。协议就是传输数据的规则。二,详细介绍1,AXI总线介绍AXI4 总线和 AXI4-Lite 总线具有相同的组成部分:(1)读地址通道,包含 ARVALID, ARADDR, ARREADY 信号;(2)读数据通道,包含 RVALID, RDATA, RREADY, RRESP 信号;(3)写地址通道,包含 AWVALID,AWADDR, A

2021-04-11 20:22:19 4612 1

原创 ZYNQ启动方式和启动流程详解

Zynq 中 liunx 的启动可以分为硬件初始化和软件启动。硬件初始化,分别是上电(POR)、所有寄存器复位和 Zynq 芯片的启动模式引脚采样、外设初始化禁能以及 PLL 的使能。软件启动,分为 3 个阶段,分别是 stage 0 - BootROM、stage 1 - FSBL 和 stage 2 - 操作系统启动。BootROM 所实现的功能,如图红色箭头所示,从 SDIO 外设所连接的外部 SD卡(假设我们的 FSBL 和 linux 内核都在 SD 中)读取的 FSBL 代码。裸机启动加载程序

2021-04-11 01:43:49 7378 3

原创 hls浮点协处理

在应用中如果出现需要进行大量浮点或其它类型数据运算的情况,此时占用大量CPU时间,如果将这类算法放到FPGA,CPU只需要发起请求和读取结果,将缩短时间。这里的hls ip模块由CPU指定float类型数据存放地址和数据长度,求求它们的平均值。计算完成后通过中断方式通知CPU。

2021-04-07 19:17:24 3616

原创 ZYNQ 无DMA的四路HP总线速度测试

1,用于 PL 与 DDR3 交互的 AXI HP 总线,使用 100MHz 的 AXI HP 总线时钟频率(可更改),任意开关每个独立的 AXI HP 读或写通道,以评估不同通道使用情况下的数据吞吐量,更改不同的 AXI HP 总线时钟频率,以评估时钟频率对 AXI HP 总线的影响。在 ZYNQ7 Processing System 在,点击 PS-PL Configuration,展开 HP Slave AXI Interface,勾选所有的 S AXI接口 interface并选中,S AXI HP

2021-04-07 17:00:50 5371 2

原创 ZYNQ GP总线实现PS与PL交互

3,完成 ZYNQ7 Processing System 的配置更改后,回到 Diagram 界面中,可以看到新出现了名为 M_AXI_GP0_ACLK、M_AXI_GP0 和 FCLK_CLK0 的接口,下面我们要对这些接口进行连接或引出。此处的偏移量,AXI的相邻寄存器偏移量相差4个字节,默认slv_reg0的偏移量是0,可以推导出slv_reg1,slv_reg2的偏移量分别为4和8,这里只用到了slv_reg0,所以偏移量为0。当然了,窗口数量的增加,势必会使得单个窗口的采样深度变小。

2021-04-07 16:09:52 6237 2

原创 vivado 使用DocNav查xilinx资料

vivado 使用DocNav查xilinx资料docnav全称Xilinx Documentation Navigator ,在搜索栏直接输入docnav便可以打开。1,输入要查的ip核资料4,打开所查询的IP内容资料DocNav DocNav DocNav DocNav

2021-04-06 11:38:25 1747 1

首次使用HS3内置JTAG,需要用批处理一下,最好在cmd下去运行

首次使用HS3内置JTAG,需要用批处理一下,最好在cmd下去运行

2023-11-28

decode-video-h264-h265

能解H264和H265视频格式

2023-03-31

subomb programFlash-tcl

subomb programFlash_tcl,一键式固化程序

2023-03-31

OMAPL138中DSP的UPP接口代码

OMAPL138中DSP的UPP接口代码

2022-10-18

串口在线升级代码,PS操作的

update,uart

2022-10-18

C语言指针原理用法和代码

一级二级指针,各种字符串函数用指针实现,传参,指针函数相关

2022-10-18

线程加锁程序,pthread-mutex-t

pthread_mutex_t mutex; pthread_mutex_init(&mutex,NULL); pthread_mutex_lock(&mutex); pthread_mutex_unlock(&mutex); pthread_mutex_destroy(&mutex);

2022-10-18

基于udp协议的通信模型udpServer.c和udpClient.c

服务器: (1)创建socket (2)通信地址 (3)绑定socket和通信地址,使用bind函数 (4)监听,使用listen函数 (5)响应客户端的连接请求,使用accept函数 (6)进行通信 (7)关闭socket

2022-10-18

阻塞IO驱动代码和makefile

//向系统注册一个字符设备 cdev_add(&bio_dev.cdev, bio_dev.devno, 1); //MIO_PIN_50申请GPIO口 ret = gpio_request(MIO_PIN_50, "key"); //将原子变量置0,相当于初始化 atomic64_set(&bio_dev.state, 0);

2022-10-16

AsynchronousIO,异步IO驱动代码和makefile

//指定信号SIGIO,并绑定处理函数 signal(SIGIO,aio_async_func); //把当前线程指定为将接收信号的进程 fcntl(fd,F_SETOWN,getpid()); //获取当前线程状态 fcntl(fd, F_GETFD); //设置当前线程为 FASYNC 状态

2022-10-16

非阻塞IO驱动和makefile

在linux中常见IO复用的方法有select、poll和epoll。可以使用selectpoll和epoll中的任何一种,对于高并发的场合只使用epoll这一种。另外有别于阻塞IO,非阻塞IO的查询与读取是分开的,即先查询再读取,只有查询阶段是非阻塞的

2022-10-16

PL-PS的中断驱动程序,带makefile

#已经编译过的内核源码路径 KERNEL_DIR = /home/kernel export ARCH=arm export CROSS_COMPILE=arm-linux-gnueabihf- #当前路径 CURRENT_DIR = $(shell pwd) MODULE = interrupt

2022-10-16

vivado2017.4 扩展多网口多串口

vivado2017.4 扩展多网口axi_ethernet+DMA 和 多串口axi_uartlittle

2022-10-16

88E151X 芯片手册,phy配置

phy配置,88E151X 芯片手册

2022-10-16

vivado(2014+2016+2017+2018+2019+2020都能使用) SRIO licence

vivado licence with SRIO function+ISE licence; ISE,Vivado2016 2017 2018 2019 2020都能使用。

2022-10-16

ZYNQ AD9361的裸机SDK配置代码

ad9361_get_en_state_machine_mode(ad9361_phy, &ensm_mode); ad9361_get_en_state_machine_mode(ad9361_phy, &ensm_mode); ad9361_get_en_state_machine_mode(ad9361_phy, &ensm_mode);

2022-10-15

QPSK调制解调代码MATLAB仿真

[~,bo]=max(cor_abs(1:length(cor_abs)/2)); index_s=bo-N+1; index_e=index_s+len_frame-1; out_signal=signal(index_s:index_e);

2022-10-15

BPSK调制解调MATLAB代码

m=length(connections);%移位寄存器的级数 %其他位等于前边的寄存器值加上连接值乘寄存器最后一位 end registers=new_reg_cont; seq(i)=registers(m);%经过一次循环寄存器输出一位得到m序列的其他位

2022-10-15

16QAM 是正交移幅键控调制

16QAM 是正交移幅键控的一种调制方式,有很高的频道利用率。正交移幅键控信号 是一种载波键控信号,它有同相和正交两路载波,以幅度键控方式独立地传送数字信息。

2022-10-15

单载波频域均衡(SC-FDE) 的设计

多载波调制本质上是一种频分复用技术。频分复用(FDM)技术早在 19 世纪以前就已 经被提出,它把可用带宽分成若干相互隔离的子频带,同时分别传送一路低速信号,从而 达到信号复用的目的。

2022-10-15

全数字QAM调制解调收发代码

1. 理解 QAM 调制的一般原理 2. 掌握数字调制的一般流程 3. 掌握全数字接收机的设计方法 4. 理解同步的概念

2022-10-15

2PSK调制解调原理,MATLAB代码

相移键控是利用载波的相位变化来传递数字信息,而振幅和频率保持不变。在 2PSK 中,通常用初始相位为 0 和π分别表示二进制‘1’和‘0’。

2022-10-15

2FSK调制解调实验,MATLAB代码

2FSK 信号常用的解调方法是采用相干解调和非相干解调。其解调原理是将2FSK信号 分解为上下两路 2ASK 信号分别进行解调,然后进行判决。

2022-10-15

2ASK调制解调实验,数字信号的键控调制

2ASK又称为二进制启闭键控(On-Off Keying),它是以单极性不归零码来控制载波的开启和关闭,而其频率和初始相位保持不变。

2022-10-15

极速PDF阅读器reader

极速PDF阅读器,fast PDF reader

2022-09-28

.vimrc,对ubuntu中格式进行配置

在虚拟机中对ubuntu中格式进行配置的.vimrc,五颜六色的。

2022-09-28

网口速度测试,数据保存软件

网口速度测试,和数据按不同端口保存软件

2022-05-04

串口助手和网口助手下载

串口网口助手自己选择,常用的版本

2022-05-04

windows 64位向日葵服务端2022版下载

电脑远程协助,远程开机,远程控制,windows 64位向日葵服务端2022版下载

2022-05-04

windows 64位向日葵客户端2022版

电脑远程协助,远程开机,远程控制,windows 64位向日葵客户端2022版下载

2022-05-04

xilinx_axidma.zip

AXI_DMA petalinux官方驱动配置文件

2021-08-09

insert_imaginary_data.v

中间传输模块

2021-08-02

ad7606.v模块verilog代码

FPGA AD7606 300K 8路采集,AXI传输数据

2021-08-02

PL_ad7606_vivado.zip

vivado2017.4工程,ZYNQ PL采集AD7606,做FFT

2021-04-07

mqtt_client.exe

mqtt_client.exe 上位机,GO语言写的

2021-03-10

emqx-windows-4.2.7.zip

emqx-windows-4.2.7.zip,mqtt服务器

2021-03-10

mqtt-demo-linux-embed.tar.gz

mqtt 应用程序交叉编译

2021-03-10

paho.mqtt.-master.tar.gz

编译mqtt使用的库

2021-03-10

logger.zip

logger_->info logger_->error logger_->warn 在linux C++中logger日志的用法

2020-04-28

axidma.zip

AXI_DMA在传输数字,图片的测试程序和驱动程序,在petalinux下编译,然后拷贝到sd卡insmod axidma.ko

2020-03-23

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除