ZYNQ GP总线实现PS与PL交互

一,verilog方式《------》PS GP

1,PL端的AXI GP的从机,实现PS端可读写访问的AXI GP寄存器外设。对Processing System配置页面做更改。选中PS-PL Configuration项,展开AXI Non Secure Enablement--> GP Master AXI Interface,勾选M AXI GP0 interface,相当于开启 PS 系统的 AXI GP0 的主机功能。

注意:下面还有一个M AXI GP1 interface,也就是说 Zynq 最多可以有2个AXI GP主机外设。

2,然后,在 Clock Configuration 项,展开 PL Fabric Clocks,勾选FCLK_CLK0,设定时钟频率为100(MHz)。这个由PS引出的时钟将要作为AXI GP的工作时钟。

  • 4
    点赞
  • 41
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

寒听雪落

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值