ZedBoard 引脚约束参考

从ISE转换到Vivado时,UCF转XDC的几种方法:

(1)软件自动转换

参考网址:Youtube

用ISE->EDK->PlanAhead打开所需转换的工程文件*.xise,并打开bd

在Tcl Console 栏中

write_xdc -file YourFileFullPath/test.xdc

JPP3_~AU[BL[Z(UA(WP%7GO

(2)参考文档人工转换

文档:ug911-vivado-migration.pdf

下载:地址

(3)附 xdc文件:

#set_property PACKAGE_PIN H20 [get_ports {wbInputData[9]}]  RMME
# Bank 13, Vcco = 3.3V
#Set the bank voltage for bank 13.
set_property IOSTANDARD LVCMOS33 [get_ports -filter { IOBANK == 13 } ]
#In the following the XDC constraint is matched to the origanal UCF constraint, XDC above, UCF below # Commented
set_property PACKAGE_PIN AB1 [get_ports {AC_ADR0}]
#NET AC_ADR0       LOC = AB1  | IOSTANDARD=LVCMOS33;  # "AC-ADR0"
#
set_property PACKAGE_PIN Y5 [get_ports {AC_ADR1}]
#NET AC_ADR1       LOC = Y5   | IOSTANDARD=LVCMOS33;  # "AC-ADR1"
set_property PACKAGE_PIN Y8 [get_ports {AC_GPIO0}]
#NET AC_GPIO0      LOC = Y8   | IOSTANDARD=LVCMOS33;  # "AC-GPIO0"
set_property PACKAGE_PIN AA7 [get_ports {AC_GPIO1}]
#NET AC_GPIO1      LOC = AA7  | IOSTANDARD=LVCMOS33;  # "AC-GPIO1"
set_property PACKAGE_PIN AA6 [get_ports {AC_GPIO2}]
#NET AC_GPIO2      LOC = AA6  | IOSTANDARD=LVCMOS33;  # "AC-GPIO2"
set_property PACKAGE_PIN Y6 [get_ports {AC_GPIO3}]
#NET AC_GPIO3      LOC = Y6   | IOSTANDARD=LVCMOS33;  # "AC-GPIO3"
set_property PACKAGE_PIN AB2 [get_ports {AC_MCLK}]
#NET AC_MCLK       LOC = AB2  | IOSTANDARD=LVCMOS33;  # "AC-MCLK"
set_property PACKAGE_PIN AB4 [get_ports {AC_SCK}]
#NET AC_SCK        LOC = AB4  | IOSTANDARD=LVCMOS33;  # "AC-SCK"
set_property PACKAGE_PIN AB5 [get_ports {AC_SDA}]
#NET AC_SDA        LOC = AB5  | IOSTANDARD=LVCMOS33;  # "AC-SDA"
set_property PACKAGE_PIN R7 [get_ports {FMC_SCL}]
#NET FMC_SCL       LOC = R7   | IOSTANDARD=LVCMOS33;  # "FMC-SCL"
set_property PACKAGE_PIN U7 [get_ports {FMC_SDA}]
#NET FMC_SDA       LOC = U7   | IOSTANDARD=LVCMOS33;  # "FMC-SDA"
set_property PACKAGE_PIN Y9 [get_ports {GCLK}]
#NET GCLK          LOC = Y9   | IOSTANDARD=LVCMOS33;  # "GCLK"
set_property PACKAGE_PIN Y11 [get_ports {JA1}]
#NET JA1           LOC = Y11  | IOSTANDARD=LVCMOS33;  # "JA1"
set_property PACKAGE_PIN AA8 [get_ports {JA10}]
#NET JA10          LOC = AA8  | IOSTANDARD=LVCMOS33;  # "JA10"
set_property PACKAGE_PIN AA11 [get_ports {JA2}]
#NET JA2           LOC = AA11 | IOSTANDARD=LVCMOS33;  # "JA2"
set_property PACKAGE_PIN Y10 [get_ports {JA3}]
#NET JA3           LOC = Y10  | IOSTANDARD=LVCMOS33;  # "JA3"
set_property PACKAGE_PIN AA9 [get_ports {JA4}]
#NET JA4           LOC = AA9  | IOSTANDARD=LVCMOS33;  # "JA4"
set_property PACKAGE_PIN AB11 [get_ports {JA7}]
#NET JA7           LOC = AB11 | IOSTANDARD=LVCMOS33;  # "JA7"
set_property PACKAGE_PIN AB10 [get_ports {JA8}]
#NET JA8           LOC = AB10 | IOSTANDARD=LVCMOS33;  # "JA8"
set_property PACKAGE_PIN AB9 [get_ports {JA9}]
#NET JA9           LOC = AB9  | IOSTANDARD=LVCMOS33;  # "JA9"
set_property PACKAGE_PIN W12 [get_ports {JB1}]
#NET JB1           LOC = W12  | IOSTANDARD=LVCMOS33;  # "JB1"
set_property PACKAGE_PIN V8 [get_ports {JB10}]
#NET JB10          LOC = V8   | IOSTANDARD=LVCMOS33;  # "JB10"
set_property PACKAGE_PIN W11 [get_ports {JB2}]
#NET JB2           LOC = W11  | IOSTANDARD=LVCMOS33;  # "JB2"
set_property PACKAGE_PIN V10 [get_ports {JB3}]
#NET JB3           LOC = V10  | IOSTANDARD=LVCMOS33;  # "JB3"
set_property PACKAGE_PIN W8 [get_ports {JB4}]
#NET JB4           LOC = W8   | IOSTANDARD=LVCMOS33;  # "JB4"
set_property PACKAGE_PIN V12 [get_ports {JB7}]
#NET JB7           LOC = V12  | IOSTANDARD=LVCMOS33;  # "JB7"
set_property PACKAGE_PIN W10 [get_ports {JB8}]
#NET JB8           LOC = W10  | IOSTANDARD=LVCMOS33;  # "JB8"
set_property PACKAGE_PIN V9 [get_ports {JB9}]
#NET JB9           LOC = V9   | IOSTANDARD=LVCMOS33;  # "JB9"
set_property PACKAGE_PIN AB6 [get_ports {JC1_N}]
#NET JC1_N         LOC = AB6  | IOSTANDARD=LVCMOS33;  # "JC1_N"
set_property PACKAGE_PIN AB7 [get_ports {JC1_P}]
#NET JC1_P         LOC = AB7  | IOSTANDARD=LVCMOS33;  # "JC1_P"
set_property PACKAGE_PIN AA4 [get_ports {JC2_N}]
#NET JC2_N         LOC = AA4  | IOSTANDARD=LVCMOS33;  # "JC2_N"
set_property PACKAGE_PIN Y4 [get_ports {JC2_P}]
#NET JC2_P         LOC = Y4   | IOSTANDARD=LVCMOS33;  # "JC2_P"
set_property PACKAGE_PIN T6 [get_ports {JC3_N}]
#NET JC3_N         LOC = T6   | IOSTANDARD=LVCMOS33;  # "JC3_N"
set_property PACKAGE_PIN R6 [get_ports {JC3_P}]
#NET JC3_P         LOC = R6   | IOSTANDARD=LVCMOS33;  # "JC3_P"
set_property PACKAGE_PIN U4 [get_ports {JC4_N}]
#NET JC4_N         LOC = U4   | IOSTANDARD=LVCMOS33;  # "JC4_N"
set_property PACKAGE_PIN T4 [get_ports {JC4_P}]
#NET JC4_P         LOC = T4   | IOSTANDARD=LVCMOS33;  # "JC4_P"
set_property PACKAGE_PIN W7 [get_ports {JD1_N}]
#NET JD1_N         LOC = W7   | IOSTANDARD=LVCMOS33;  # "JD1_N"
set_property PACKAGE_PIN V7 [get_ports {JD1_P}]
#NET JD1_P         LOC = V7   | IOSTANDARD=LVCMOS33;  # "JD1_P"
set_property PACKAGE_PIN V4 [get_ports {JD2_N}]
#NET JD2_N         LOC = V4   | IOSTANDARD=LVCMOS33;  # "JD2_N"
set_property PACKAGE_PIN V5 [get_ports {JD2_P}]
#NET JD2_P         LOC = V5   | IOSTANDARD=LVCMOS33;  # "JD2_P"
set_property PACKAGE_PIN W5 [get_ports {JD3_N}]
#NET JD3_N         LOC = W5   | IOSTANDARD=LVCMOS33;  # "JD3_N"
set_property PACKAGE_PIN W6 [get_ports {JD3_P}]
#NET JD3_P         LOC = W6   | IOSTANDARD=LVCMOS33;  # "JD3_P"
set_property PACKAGE_PIN U5 [get_ports {JD4_N}]
#NET JD4_N         LOC = U5   | IOSTANDARD=LVCMOS33;  # "JD4_N"
set_property PACKAGE_PIN U6 [get_ports {JD4_P}]
#NET JD4_P         LOC = U6   | IOSTANDARD=LVCMOS33;  # "JD4_P"
set_property PACKAGE_PIN U10 [get_ports {OLED_DC}]
#NET OLED_DC       LOC = U10  | IOSTANDARD=LVCMOS33;  # "OLED-DC"
set_property PACKAGE_PIN U9 [get_ports {OLED_RES}]
#NET OLED_RES      LOC = U9   | IOSTANDARD=LVCMOS33;  # "OLED-RES"
set_property PACKAGE_PIN AB12 [get_ports {OLED_SCLK}]
#NET OLED_SCLK     LOC = AB12 | IOSTANDARD=LVCMOS33;  # "OLED-SCLK"
set_property PACKAGE_PIN AA12 [get_ports {OLED_SDIN}]
#NET OLED_SDIN     LOC = AA12 | IOSTANDARD=LVCMOS33;  # "OLED-SDIN"
set_property PACKAGE_PIN U11 [get_ports {OLED_VBAT}]
#NET OLED_VBAT     LOC = U11  | IOSTANDARD=LVCMOS33;  # "OLED-VBAT"
set_property PACKAGE_PIN U12 [get_ports {OLED_VDD}]
#NET OLED_VDD      LOC = U12  | IOSTANDARD=LVCMOS33;  # "OLED-VDD"

# Bank 33, Vcco = 3.3V
set_property IOSTANDARD LVCMOS33 [get_ports -filter { IOBANK == 33 } ]
set_property PACKAGE_PIN AB14 [get_ports {FMC_PRSNT}]
#NET FMC_PRSNT     LOC = AB14 | IOSTANDARD=LVCMOS33;  # "FMC-PRS
  • 0
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值