Vivado开发工具熟悉之XDC约束文件

Vivado开发工具的使用之前已经有了比较多的了解,在建立工程图形化界面操作这里已经不存在什么问题,不论是IP核,embedded system(block design),还是添加约束,编译流程,流程已经打通了。

但是在实际工程移植过程中,发现Vivado开发中最不一样的地方还是约束这里,这里把约束相关的一些与ISE不同的地方,和Vivado新增加的关于约束的工具总结一下。

1,vivado约束文件参考ug903-vivado-using-constraints</

  • 7
    点赞
  • 79
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值