Xilinx LVDS

差分I/O端口组件

1           IBUFDS 

IBUFDS原语用于将差分输入信号转化成标准单端信号,且可加入可选延迟。在IBUFDS原语中,输入信号为I、IB,一个为主,一个为从,二者相位相反。

IBUFDS的逻辑真值表所列,其中“-*”表示输出维持上一次的输出值,保持不变。

表IBUFDS原语的输入、输出真值表

IBUFDS原语的例化代码模板如下所示:

// IBUFDS: 差分输入缓冲器(Differential Input Buffer)
// 适用芯片:Virtex-II/II-Pro/4, Spartan-3/3E
// Xilinx HDL库向导版本,ISE 9.1
IBUFDS #(
.DIFF_TERM("FALSE"),

// 差分终端,只有Virtex-4系列芯片才有,可设置为True/Flase
.IOSTANDARD("DEFAULT")
// 指定输入端口的电平标准,如果不确定,可设为DEFAULT
) IBUFDS_inst (
.O(O), // 时钟缓冲输出
.I(I), // 差分时钟的正端输入,需要和顶层模块的端口直接连接
.IB(IB) // 差分时钟的负端输入,需要和顶层模块的端口直接连接
);
// 结束IBUFDS模块的例化过程

http://www.xilinx.com/itp/xilinx6/books/data/docs/lib/lib0229_197.html

Verilog Instantiation Template

IBUFDS instance_name (.O (user_O),

                                  .I (user_I),

                                  .IB (user_IB));


在综合结果分析时,IBUFDS的RTL结构如图所示。


图IBUFDS原语的RTL结构图

2)        OBUFDS

OBUFDS将标准单端信号转换成差分信号,输出端口需要直接对应到顶层模块的输出信号,和IBUFDS为一对互逆操作。OBUFDS原语的真值表如表所列。

表OBUFDS原语的真值表

OBUFDS原语的例化代码模板如下所示:

// OBUFDS: 差分输出缓冲器(Differential Output Buffer)
// 适用芯片:Virtex-II/II-Pro/4, Spartan-3/3E
// Xilinx HDL库向导版本,ISE 9.1
OBUFDS #(
.IOSTANDARD("DEFAULT")

// 指名输出端口的电平标准
) OBUFDS_inst (
.O(O), // 差分正端输出,直接连接到顶层模块端口
.OB(OB), // 差分负端输出,直接连接到顶层模块端口
.I(I) // 缓冲器输入
);
// 结束OBUFDS模块的例化过程

http://www.xilinx.com/itp/xilinx5/data/docs/lib/lib0317_301.html

Verilog Instantiation Template

OBUFDS instance_name (.O (user_O),

                                  .OB (user_OB),

                                  .I (user_I));

在综合结果分析时,OBUFDS原语的RTL结构如图所示。


图OBUFDS的RTL结构图

 

3) IOBUFDS

IOBUFDS原语真值表

 

 

 

 

IOBUFDS的RTL结构图

 

 

 

 

Verilog Instantiation Template

// IOBUFDS: Differential Bi-directional Buffer

// Virtex-II/II-Pro/4/5, Spartan-3/3E/3A

// Xilinx HDL Libraries Guide, version 9.1i

IOBUFDS #(

.IBUF_DELAY_VALUE("0"),

// Specify the amount of added input delay for the buffer, "0"-"16" (Spartan-

3E only)

.IFD_DELAY_VALUE("AUTO"),

// Specify the amount of added delay for input register, "AUTO", "0"-"8"

(Spartan-3E only)

.IOSTANDARD("DEFAULT") // Specify the I/O standard

) IOBUFDS_inst (

.O(O), // Buffer output

.IO(IO), // Diff_p inout (connect directly to top-level port)

.IOB(IOB),// Diff_n inout (connect directly to top-level port)

.I(I),// Buffer input

.T(T) // 3-state enable input

);

// End of IOBUFDS_inst instantiation

 

差分时钟组件

1IBUFGDS

与全局时钟资源相关的原语常用的与全局时钟资源相关的Xilinx器件原语包括:IBUFG、IBUFGDS、BUFG、BUFGP、BUFGCE、 BUFGMUX、BUFGDLL和DCM等,如图1所示。

IBUFGDS是IBUFG的差分形式,当信号从一对差分全局时钟管脚输入时,必须使用IBUFGDS作为全局时钟输入缓冲。IBUFG支持BLVDS、LDT、LVDSEXT、LVDS、LVPECL和ULVDS等多种格式的IO标准。

http://www.xilinx.com/itp/xilinx6/books/data/docs/lib/lib0231_199.html

 

   IBUFGDS原语真值表

 

 

 

 

IBUFGDS的RTL结构图

 

 

 

 

Verilog Instantiation Template

IBUFGDS instance_name (.O (user_O),

                                   .I (user_I),

                                   .IB (user_IB));

转载于:https://www.cnblogs.com/121792730applllo/archive/2013/04/30/3052527.html

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Xilinx LVDS ADC是指在Xilinx FPGA中使用LVDS(Low-Voltage Differential Signaling)接口来接收ADC(Analog-to-Digital Converter)产生的数据。LVDS是一种高速差分信号传输技术,具有较低的功耗和抗干扰能力,适用于高速数据传输。LVDS接口可以通过FPGA的SelectIO资源进行配置,支持各种IO接口标准,并且可以达到几百兆甚至上千兆的接口速率。 在Xilinx FPGA中,可以使用多种资源来处理LVDS ADC的数据。例如,ISERDES(Input Serializer)、IDELAY(Input Delay)、OSERDES(Output Serializer)、ODDR(Output DDR)等资源都可用于LVDS ADC数据的接收和处理。这些资源可以在FPGA的IOB(Input Output Block)中找到,并且可以根据具体的需求进行配置和使用。 使用LVDS接口接收高速ADC产生的数据可以提供方便,并且可以通过配置FPGA的相关资源来实现。具体的器件使用方法可以参考Xilinx FPGA的手册。 在项目中使用LVDS接口时,可以根据具体的需求选择适合的资源进行配置和使用,以实现数据的高速传输和处理。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* [LVDS高速ADC接口, xilinx fpga实现](https://blog.csdn.net/u010161493/article/details/76732970)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] - *3* [基于FPGA设计的低成本四通道 1GSPS 示波器设计资料源文件(包含硬件+软件+文档)完整资料.zip](https://download.csdn.net/download/tianqiquan/88253962)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值