verilog 简单module_简单的Verilog测试模板结构

//timescale

`timescale 1ns/1nsmoduletb_module();//the Internal motivation variable(register) and output wire//the External motivation storage variable//Sub module signal,example: wire [1:0] xxx == xxx_inst.xxx_inst.xxx;//Global variable initialization ,such as 'clk'、'rst_n'

initial begin#0 rst_n = 0;

clk= 0;

#25 rst_n = 1;end

//Internal motivation variable initialization//initial begin//end//cloclk signal generation

always #10 clk = ~clk ;//Cases of sub module xxxx xxxx_inst(.(),.(), ... ,.());//Internal motivation variable assignment using task or random/*example

task data_assign(xx); | task rand_bit();

integer xx,xx,...; | integer i;

begin | begin

for( ; ; )begin | for(i=0; i<255; i=i+1)begin

@(posedge clock) | @(posedge sclk);

Internal motivation variable <= xxxxx; | Internal motivation variable <={$random} %2;

end | end

end | end

endtask | endtask*/

endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值