IP之ALTDDIO_in仿真

需要添加altera_mf库,才可以仿真。

上升沿输出,把前一个时钟的数据输出来。

`timescale 1 ns/ 1 ns;

module altddio_in_ip_tb;

reg rst;

reg clk;

reg [7:0] datain;

wire[7:0] dataouth;

wire[7:0] dataoutl;

altddio_in_ip u_altddio_in_ip (

.aclr ( rst ),

.datain ( datain ),

.inclock ( clk ),

.dataout_h ( dataouth ),

.dataout_l ( dataoutl )

);

 

initial 

begin

 #0 rst = 0;//高电平复位

    clk = 1;

    datain = 0;

end

always

begin

#10  clk = ~clk;

     datain = datain + 1;

end 

end module

#######################################################

altddio_out

在时钟的上升沿和下降沿分别输出时钟上升沿对应的两个数据。

和altddio_in添加一样的库。

`timescale 1 ns/1 ns;

module altddio_out_ip_tb;

reg rst;

reg[7:0] datain_h;

reg[7:0] datain_l;

reg outclk;

wire [7:0]dataout;

 

altddio_out_ip i_altddio_out_ip (

.aclr ( rst ),

.datain_h ( datain_h ),

.datain_l ( datain_l ),

.outclock ( outclk ),

.dataout ( dataout )

);

initial 

begin

#0 rst = 0;

   outclk = 0;

   datain_h = 0;

   datain_l = 255;

end

always

begin

#10 outclk = ~outclk;

 

end

always

begin

#20 datain_h = datain_h +1;

datain_l = datain_l -1;

end

endmodule

转载于:https://www.cnblogs.com/zhongguo135/p/7683723.html

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值