极简仿真环境 with irun/xrun

Basic

xrun -sv -top top_module_name top_module_name.sv top_rtl.v

plus option

-incdir . -incdir ./rtl_define // include directory
+define+NUM=5 // define MACRO
+access+rwc -input dump.tcl // dump signal
+xmcovfile+…/cov_param_define.txt // specify coverage option file
-covoverwrite // overwrite existing test output dir
-makelib -incdir …/ primitive.v -endlib
-f file_name // include file including these params
+sv_lib=libdpi.so // generate from c
-uvm_home $UVM_HOME // include uvm directory
-uvm // run with uvm

dump.tcl content

database -open -default -shm -compress -into waves.shm waves
probe top -all -depth all -database waves -name my_probe
run

cov_param_define.txt content

select_coverage -all -module RTL_top
select_functional
set_covergroup -new_instance_reporting

c script

gcc -DPARAM=1 -fpic -shared -o libdpi.so reference.c -I tool_include_path

merge coverage database

-coverage all -covtest test_name
imc -batch
>merge cov_work/scope/test_name1 cov_work/scope/test_name2 -out merge_out // merge_out will under cov_work/scope
imc -gui

generate fsdb file

(1) config
$NOVAS_HOME: VERDI install dir
export LD_LIBRARY_PATH="$LD_LIBRARY_PATH:$NOVAS_HOME/share/PLI/lib/LINUX:$NOVAS_HOME/share/PLI/IUS/LINUX/boot"
(2) code in testbench
//Enable dump fsdb
initial
begin
$fsdbDumpfile(“test.fsdb”);
$fsdbDumpvars(0,TB);
end
(3) compile/elab/simulation option
irun -access +rwc -loadpli1 debpli:novas_pli_boot -f XXXXXXX.f

  • 10
    点赞
  • 27
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值