有限状态机的三种写法及优缺点(转载)

原文链接:http://www.cnblogs.com/heiyue/archive/2012/02/27/2369889.html

有限状态机的三种写法及优缺点

     状态机描述时关键是要描述清楚前面提到的几个状态机的要素,即如何进行状态转移;每个状态的输出是什么;状态转移是否和输入条件相关等。具体描述时方法各种各样,有的设计者习惯将整个状态机写到1 个always 模块里面,在该模块中即描述状态转移,又描述状态的输入和输出,这种写法一般被称为一段式FSM 描述方法;还有一种写法是将用2 个always 模块,其中一个always 模块采用同步时序描述状态转移;另一个模块采用组合逻辑判断状态转移条件,描述状态转移规律,这种写法被称为两段式FSM 描述方法;还有一种写法是在两段式描述方法基础上发展出来的,这种写法使用3 个always 模块,一个always模块采用同步时序描述状态转移;第二个采用组合逻辑判断状态转移条件,描述状态转移规律;第三个always 模块使用同步时序电路描述每个状态的输出,这种写法本书称为三段式写法。

  一般而言,推荐的 FSM 描述方法是后两种,即两段式和三段式FSM 描述方法。其原因为:FSM 和其他设计一样,最好使用同步时序方式设计,以提高设计的稳定性,消除毛刺。状态机实现后,一般来说,状态转移部分是同步时序电路,而状态的转移条件的判断是组合逻辑。两段式之所以比一段式编码合理,就在于两段式编码将同步时序和组合逻辑分别放到不同的always 程序块中实现。这样做的好处不仅仅是便于阅读、理解、维护,更重要的是利于综合器优化代码,利于用户添加合适的时序约束条件,利于布局布线器实现设计。而 一段式FSM 描述不利于时序约束、功能更改、调试等,而且不能很好的表示米勒FSM 的输出,容易写出Latches,导致逻辑功能错误。

  在一般两段式描述中,为了便于描述当前状态的输出,很多设计者习惯将当前状态的输出用组合逻辑实现。 但是这种组合逻辑仍然有产生毛刺的可能性,而且不利于约束,不利于综合器和布局布线器实现高性能的设计。因此如果设计运行额外的一个时钟节拍的插入 (latency),则要求尽量对状态机的输出用寄存器寄存一拍。但是很多实际情况不允许插入一个寄存节拍,此时则可以通过三段式描述方法进行解决。三段式与两段式相比,关键在于根据状态转移规律,在上一状态根据输入条件判断出当前状态的输出,从而在不插入额外时钟节拍的前提下,实现了寄存器输出。

    为了便于理解,我们通过一个实例讨论这三种不同的写法。

Code highlighting produced by Actipro CodeHighlighter (freeware)
http://www.CodeHighlighter.com/-->//一段式状态机描述方法(应该避免的写法)
//该例的一段式描述代码如下:
//1-paragraph method to describe FSM
//Describe state transition, state output, input condition in 1 always block

module state1 ( nrst,clk,i1,i2,o1,o2,err);
            input nrst,clk;
            input i1,i2;
            output o1,o2,err;
            reg o1,o2,err;
            reg [2:0] NS;                 //NextState
            
            parameter [2:0]             //one hot with zero idle
            IDLE = 3'b000,
            S1 = 3’b001,
            S2 = 3’b010,
            ERROR = 3’b100;
            
            //1 always block to describe state transition, state output, input condition
            
            always @ (posedge clk or negedge nrst)
                if (!nrst)
                    begin    
                        NS <= IDLE;
                        {o1,o2,err} <= 3'b000;
                    end
                else
                begin
                    NS <= 3'bx;
                    {o1,o2,err} <= 3'b000;
                    case (NS)
                        IDLE: begin
                            if (~i1)              begin{o1,o2,err}<=3'b000;NS <= IDLE; end
                            if (i1 && i2)         begin{o1,o2,err}<=3'b100;NS <= S1; end
                            if (i1 && ~i2)        begin{o1,o2,err}<=3'b111;NS <= ERROR;end
                            end
                        S1: begin
                            if (~i2)              begin{o1,o2,err}<=3'b100;NS <= S1; end
                            if (i2 && i1)         begin{o1,o2,err}<=3'b010;NS <= S2; end
                            if (i2 && (~i1))      begin{o1,o2,err}<=3'b111;NS <= ERROR;end
                            end
                        S2: begin
                            if (i2)               begin{o1,o2,err}<=3'b010;NS <= S2; end
                            if (~i2 && i1)        begin{o1,o2,err}<=3'b000;NS <= IDLE; end
                            if (~i2 && (~i1))     begin{o1,o2,err}<=3'b111;NS <= ERROR;end
                            end
                        ERROR: begin
                            if (i1)               begin{o1,o2,err}<=3'b111;NS <= ERROR;end
                            if (~i1)              begin{o1,o2,err}<=3'b000;NS <= IDLE; end
                            end
                        default:    begin{o1,o2,err}<=3'b000;NS <= IDLE; end
                        
                    endcase
            end
endmodule

//两段式状态机描述方法(推荐写法)
//为了使 FSM 描述清晰简介,易于维护,易于附加时序约束,使综合器和布局布线器更
//好的优化设计,推荐使用两段式FSM 描述方法。
//本例的两段式描述代码如下:
//2-paragraph method to describe FSM
//Describe sequential state transition in 1 sequential always block
//State transition conditions in the other combinational always block
//Package state output by task. Then register the output

module state2 ( nrst,clk,i1,i2,o1,o2,err);
            input nrst,clk;
            input i1,i2;
            output o1,o2,err;
            reg o1,o2,err;
            reg [2:0] NS,CS;
            
            parameter [2:0]                                         //one hot with zero idle
            IDLE = 3'b000,
            S1 = 3’b001,
            S2 = 3’b010,
            ERROR = 3’b100;
            
            always @ (posedge clk or negedge nrst)//sequential state transition
            if (!nrst)
                CS <= IDLE;
            else
                CS <=NS;
            
            always @ (CS or i1 or i2)        //combinational condition judgment
                begin
                    NS = 3'bx;
                    ERROR_out;
                    case (CS)
                        IDLE: begin
                            IDLE_out;
                            if (~i1)                  NS = IDLE;
                            if (i1 && i2)             NS = S1;
                            if (i1 && ~i2)            NS = ERROR;
                            end
                        S1: begin
                            S1_out;
                            if (~i2)                  NS = S1;
                            if (i2 && i1)             NS = S2;
                            if (i2 && (~i1))          NS = ERROR;
                            end
                        S2: begin
                            S2_out;
                            if (i2)                   NS = S2;
                            if (~i2 && i1)            NS = IDLE;
                            if (~i2 && (~i1))         NS = ERROR;
                            end
                        ERROR: begin
                            ERROR_out;
                            if (i1)                   NS = ERROR;
                            if (~i1)                  NS = IDLE;
                            end
                        default: begin
                            IDLE_out;
                            NS = IDLE;
                            end
                    endcase
                end
                
                    task IDLE_out;
                    {o1,o2,err} = 3'b000;        //output task
                    endtask
                    task S1_out;
                    {o1,o2,err} = 3'b100;
                    endtask
                    task S2_out;
                    {o1,o2,err} = 3'b010;
                    endtask
                    task ERROR_out;
                    {o1,o2,err} = 3'b111;
                    endtask
endmodule

//本例的三段式描述代码如下:
//3-paragraph method to describe FSM
//Describe sequential state transition in the 1st sequential always block
//State transition conditions in the 2nd combinational always block
//Describe the FSM out in the 3rd sequential always block

module state3 ( nrst,clk,i1,i2,o1,o2,err);
            input nrst,clk;
            input i1,i2;
            output o1,o2,err;
            reg o1,o2,err;
            reg [2:0] NS,CS;
            
            parameter [2:0]     //one hot with zero idle
            IDLE = 3'b000,
            S1 = 3'b001,
            S2 = 3'b010,
            ERROR = 3'b100;
            
            always @ (posedge clk or negedge nrst)    //1st always block, sequential state transition
            if (!nrst)
                CS <= IDLE;
            else
                CS <=NS;
            
            always @ (nrst or CS or i1 or i2)                //2nd always block, combinational condition judgment
            begin
                NS = 3'bx;  //要初始化,使得系统复位后能进入正确的状态
                case (CS)
                    IDLE: begin
                        if (~i1)              NS = IDLE;
                        if (i1 && i2)         NS = S1;
                        if (i1 && ~i2)        NS = ERROR;
                        end
                    S1: begin
                        if (~i2)              NS = S1;
                        if (i2 && i1)         NS = S2;
                        if (i2 && (~i1))      NS = ERROR;
                        end
                    S2: begin
                        if (i2)               NS = S2;
                        if (~i2 && i1)        NS = IDLE;
                        if (~i2 && (~i1))     NS = ERROR;
                        end
                    ERROR: begin
                        if (i1)               NS = ERROR;
                        if (~i1)              NS = IDLE;
                        end
                    default: NS = IDLE;  //default的作用是免除综合工具综合出锁存器
                endcase
            end
                    
            always @ (posedge clk or negedge nrst)    //3rd always block, the sequential FSM output
            if (!nrst)
                {o1,o2,err} <= 3'b000;
            else
                begin
                    {o1,o2,err} <= 3'b000;
                case (NS)
                    IDLE: {o1,o2,err}<=3'b000;  //注意是非阻塞逻辑
                    S1: {o1,o2,err}<=3'b100;
                    S2: {o1,o2,err}<=3'b010;
                    ERROR: {o1,o2,err}<=3'b111;
                endcase
                end
endmodule

 

转载于:https://www.cnblogs.com/cosmo89929/archive/2013/02/05/2892511.html

  • 0
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
利用 VHDL 设计的许多实用逻辑系统中 有许多是可以利用有限状态机的设计方案来 描述和实现的 无论与基于 VHDL 的其它设计方案相比 还是与可完成相似功能的 CPU 相比 状态机都有其难以逾越的优越性 它主要表现在以下几方面 h 由于状态机的结构模式相对简单 设计方案相对固定 特别是可以定义符号化枚 举类型的状态 这一切都为 VHDL 综合器尽可能发挥其强大的优化功能提供了有利条件 而且 性能良好的综合器都具备许多可控或不可控的专门用于优化状态机的功能 h 状态机容易构成性能良好的同步时序逻辑模块 这对于对付大规模逻辑电路设计 中令人深感棘手的竞争冒险现象无疑是一个上佳的选择 加之综合器对状态机的特有的优 化功能 使的状态机解决方案的优越性更为突出 h 状态机的 VHDL 设计程序层次分明 结构清晰 易读易懂 在排错 修改和模块 移植方面 初学者特别容易掌握 h 在高速运算和控制方面 状态机更有其巨大的优势 由于在 VHDL 中 一个状态 机可以由多个进程构成 一个结构体中可以包含多个状态机 而一个单独的状态机 或多 个并行运行的状态机 以顺序方式的所能完成的运算和控制方面的工作与一个 CPU 类似 由此不难理解 一个设计实体的功能便类似于一个含有并行运行的多 CPU 的高性能微处 理器的功能 事实上这种多 CPU 的微处理器早已在通信 工控和军事等领域有了十分广 泛的应用 h 就运行速度而言 尽管 CPU 和状态机都是按照时钟节拍以顺序时序方式工作的 但 CPU 是按照指令周期 以逐条执行指令的方式运行的 每执行一条指令 通常只能完 成一项操作 而一个指令周期须由多个 CPU 机器周期构成 一个机器周期又由多个时钟 周期构成 一个含有运算和控制的完整设计程序往往需要成百上千条指令 相比之下 状 态机状态变换周期只有一个时钟周期 而且 由于在每一状态中 状态机可以完成许多并 行的运算和控制操作 所以 一个完整的控制程序 即使由多个并行的状态机构成 其状 态数也是十分有限的 因此有理由认为 由状态机构成的硬件系统比 CPU 所能完成同样 功能的软件系统的工作速度要高出两个数量级 h 就可靠性而言 状态机的优势也是十分明显的 CPU 本身的结构特点与执行软件 指令的工作方式决定了任何 CPU 都不可能获得圆满的容错保障 这已是不争的事实了 因此 用于要求高可靠性的特殊环境中的电子系统中 如果以 CPU 作为主控部件 应是 一项错误的决策 然而 状态机系统就不同了 首先是由于状态机的设计中能使用各种无 懈可击的容错技术 其次是当状态机进入非法状态并从中跳出所耗的时间十分短暂 通常 只有 2 个时钟周期 约数十个 ns 尚不足以对系统的运行构成损害 而 CPU 通过复位方第 10 章 有限状态机 FSM 199 式从非法运行方式中恢复过来 耗时达数十 ms 这对于高速高可靠系统显然是无法容忍 的 再其次是状态机本身是以并行运行为主的纯硬件结构

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值