【浅谈状态机 】

浅谈状态机

1、前言

状态机是FPGA设计中一种非常重要、非常根基的设计思想,堪称FPGA的灵魂,贯穿FPGA设计的始终。

2、状态机简介

什么是状态机:状态机通过不同的状态迁移来完成特定的逻辑操作(时序操作)状态机是许多数字系统的核心部件, 是一类重要的时序逻辑电路。通常包括三个部分:

  • 下一个状态的逻辑电路
  • 存储状态机当前状态的时序逻辑电路
  • 输出组合逻辑电路

3、状态机分类

通常,状态机的状态数量有限,称为有限状态机(FSM)。由于状态机所有触发器的时钟由同一脉冲边沿触发,故也称之为同步状态机。
根据状态机的输出信号是否与电路的输入有关分为 Mealy 型状态机和 Moore 型状态机

3.1、Mealy 型状态机

电路的输出信号不仅与电路当前状态有关, 还与电路的输入有关

在这里插入图片描述

3.2、Moore 型状态机

电路的输出仅仅与各触发器的状态, 不受电路输入信号影响或无输入

在这里插入图片描述

状态机的状态转移图,通常也可根据输入和内部条件画出。一般来说,状态机的设计包含下列设计步骤:
  • 根据需求和设计原则, 确定是 Moore 型还是 Mealy 型状态机;
  • 分析状态机的所有状态, 对每一状态选择合适的编码方式,进行编码;
  • 根据状态转移关系和输出绘出状态转移图;
  • 构建合适的状态机结构, 对状态机进行硬件描述。

4、 状态机描述

状态机的描述通常有三种方法, 称为一段式状态机, 二段式状态机和三段式状态机。
状态机的描述通常包含以下四部分:

  • 利用参数定义语句 parameter 描述状态机各个状态名称, 即状态编码。状态编码通常有很多方法包含自然二进制编码, One-hot 编码,格雷编码码等;
  • 用时序的 always 块描述状态触发器实现状态存储;
  • 使用敏感表和 case 语句(也采用 if-else 等价语句) 描述状态转换逻辑;
  • 描述状态机的输出逻辑。

下面根据状态机的三种方法来具体说明

4.1、一段式状态机

module detect_1(
input clk_i,
input rst_n_i,
output out_o
);
reg out_r;
//状态声明和状态编码
reg [1:0] state;
parameter [1:0] S0=2'b00;
parameter [1:0] S1=2'b01;
parameter [1:0] S2=2'b10;
parameter [1:0] S3=2'b11;
always@(posedge clk_i)
begin
  if(!rst_n_i)begin
    state<=0;
    out_r<=1'b0;
  end
  else
    case(state)
      S0 :
      begin
        out_r<=1'b0;
        state<= S1;
      end
      S1 :
      begin
        out_r<=1'b1;
        state<= S2;
      end
      S2 :
      begin
        out_r<=1'b0;
        state<= S3;
      end
      S3 :
        begin
        out_r<=1'b1;
      end
    endcase
end
assign out_o=out_r;
endmodul

一段式状态机是应该避免使用的,该写法仅仅适用于非常简单的状态机设计。

4.2、两段式状态机

module detect_2(
    input clk_i,
    input rst_n_i,
    output out_o
  );
  reg out_r;
  //状态声明和状态编码
  reg [1:0] Current_state;
  reg [1:0] Next_state;
  parameter [1:0] S0=2'b00;
  parameter [1:0] S1=2'b01;
  parameter [1:0] S2=2'b10;
  parameter [1:0] S3=2'b11;
  //时序逻辑:描述状态转换
  always@(posedge clk_i)
  begin
    if(!rst_n_i)
      Current_state<=0;
    else
      Current_state<=Next_state;
  end
  //组合逻辑:描述下一状态和输出
  always@(*)
  begin
    out_r=1'b0;
    case(Current_state)
      S0 :
        begin
          out_r=1'b0;
          Next_state= S1;
        end
      S1 :
        begin
          out_r=1'b1;
          Next_state= S2;
        end
      S2 :
        begin
          out_r=1'b0;
          Next_state= S3;
        end
      S3 :
        begin
          out_r=1'b1;
          Next_state=Next_state;
        end
    endcase
  end
  assign out_o = out_r;
endmodule
两段式状态机采用两个 always 模块实现状态机的功能, 其中一个 always 采用同步时序逻辑描述状态转移, 另一个 always 采用组合逻辑来判断状态条件转移。

4.3、三段式状态机

module detect_3(
    input clk_i,
    input rst_n_i,
    output out_o
  );
  reg out_r;
  //状态声明和状态编码
  reg [1:0] Current_state;
  reg [1:0] Next_state;
  parameter [1:0] S0=2'b00;
  parameter [1:0] S1=2'b01;
  parameter [1:0] S2=2'b10;
  parameter [1:0] S3=2'b11;
  //时序逻辑:描述状态转换
  always@(posedge clk_i)
  begin
    if(!rst_n_i)
      Current_state<=0;
    else
      Current_state<=Next_state;
  end
  //组合逻辑:描述下一状态
  always@(*)
  begin
    case(Current_state)
      S0:
        Next_state = S1;
      S1:
        Next_state = S2;
      S2:
        Next_state = S3;
      S3:
        begin
          Next_state = Next_state;
        end
      default :
      Next_state = S0;
    endcase
  end
  //输出逻辑: 让输出 out, 经过寄存器 out_r 锁存后输出, 消除毛刺
  always@(posedge clk_i)
  begin
    if(!rst_n_i)
      out_r<=1'b0;
    else
      begin
        case(Current_state)
          S0,S2:
            out_r<=1'b0;
          S1,S3:
            out_r<=1'b1;
          default :
            out_r<=out_r;
        endcase
      end
  end

  assign out_o=out_r;
endmodule
三段式状态机在第一个 always 模块采用同步时序逻辑方式描述状态转移, 第二个always 模块采用组合逻辑方式描述状态转移规律, 第三个 always 描述电路的输出。通常让输出信号经过寄存器缓存之后再输出,消除电路毛刺。

5、状态机优缺点

1、一段式状态机:只涉及时序电路,没有竞争与冒险,同时消耗逻辑比较少。
但是如果状态非常多,一段式状态机显得比较臃肿,不利于维护。
2、两段式状态机:当一个模块采用时序(状态转移),一个模块采用组合时候(状态机输出),组合逻辑电路容易造成竞争与冒险;当两个模块都采用时序,可以避免竞争与冒险的存在,但是整个状态机的时序上会延时一个周期。
两段式状态机是推荐的状态机设计方法。
3、三段式状态机:三段式状态机在状态转移时采用组合逻辑电路+格雷码,避免了组合逻辑的竞争与冒险;状态机输出采用了同步寄存器输出,也可以避免组合逻辑电路的竞争与冒险;采用这两种方法极大的降低了竞争冒险。并且在状态机的采用这种组合逻辑电路+次态寄存器输出,避免了两段式状态机的延时一个周期(三段式状态机在上一状态中根据输入条件判断当前状态的输出,从而在不插入额外时钟节拍的前提下,实现寄存器的输出)。
三段式状态机也是比较推崇的,主要是由于维护方便, 组合逻辑与时序逻辑完全独立。

6、 总结

灵活选择状态机,不一定要拘泥理论,怎样方便怎样来

7、扩展

四段式不是指三个always代码,而是四段程序。使用四段式的写法:

  • 第一段代码,照抄格式,完全不用想其他的。
  • 第二段代码,只考虑状态之间的跳转,也就是说各个状态机之间跳转关系。
  • 第三段代码,只考虑跳转条件。
  • 第四段,每个信号逐个设计。
    创作不易,希望大家多多点赞!!!如有错误或者不同的见解,欢迎评论区提问。
  • 3
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论
利用 VHDL 设计的许多实用逻辑系统 有许多是可以利用有限状态机的设计方案来 描述和实现的 无论与基于 VHDL 的其它设计方案相比 还是与可完成相似功能的 CPU 相比 状态机都有其难以逾越的优越性 它主要表现在以下几方面 h 由于状态机的结构模式相对简单 设计方案相对固定 特别是可以定义符号化枚 举类型的状态 这一切都为 VHDL 综合器尽可能发挥其强大的优化功能提供了有利条件 而且 性能良好的综合器都具备许多可控或不可控的专门用于优化状态机的功能 h 状态机容易构成性能良好的同步时序逻辑模块 这对于对付大规模逻辑电路设计 令人深感棘手的竞争冒险现象无疑是一个上佳的选择 加之综合器对状态机的特有的优 化功能 使的状态机解决方案的优越性更为突出 h 状态机的 VHDL 设计程序层次分明 结构清晰 易读易懂 在排错 修改和模块 移植方面 初学者特别容易掌握 h 在高速运算和控制方面 状态机更有其巨大的优势 由于在 VHDL 一个状态 机可以由多个进程构成 一个结构体可以包含多个状态机 而一个单独的状态机 或多 个并行运行的状态机 以顺序方式的所能完成的运算和控制方面的工作与一个 CPU 类似 由此不难理解 一个设计实体的功能便类似于一个含有并行运行的多 CPU 的高性能微处 理器的功能 事实上这种多 CPU 的微处理器早已在通信 工控和军事等领域有了十分广 泛的应用 h 就运行速度而言 尽管 CPU 和状态机都是按照时钟节拍以顺序时序方式工作的 但 CPU 是按照指令周期 以逐条执行指令的方式运行的 每执行一条指令 通常只能完 成一项操作 而一个指令周期须由多个 CPU 机器周期构成 一个机器周期又由多个时钟 周期构成 一个含有运算和控制的完整设计程序往往需要成百上千条指令 相比之下 状 态机状态变换周期只有一个时钟周期 而且 由于在每一状态 状态机可以完成许多并 行的运算和控制操作 所以 一个完整的控制程序 即使由多个并行的状态机构成 其状 态数也是十分有限的 因此有理由认为 由状态机构成的硬件系统比 CPU 所能完成同样 功能的软件系统的工作速度要高出两个数量级 h 就可靠性而言 状态机的优势也是十分明显的 CPU 本身的结构特点与执行软件 指令的工作方式决定了任何 CPU 都不可能获得圆满的容错保障 这已是不争的事实了 因此 用于要求高可靠性的特殊环境的电子系统 如果以 CPU 作为主控部件 应是 一项错误的决策 然而 状态机系统就不同了 首先是由于状态机的设计能使用各种无 懈可击的容错技术 其次是当状态机进入非法状态并从跳出所耗的时间十分短暂 通常 只有 2 个时钟周期 约数十个 ns 尚不足以对系统的运行构成损害 而 CPU 通过复位方第 10 章 有限状态机 FSM 199 式从非法运行方式恢复过来 耗时达数十 ms 这对于高速高可靠系统显然是无法容忍 的 再其次是状态机本身是以并行运行为主的纯硬件结构

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

卯【金】刀

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值