FPGA中异步复位同步释放

其实异步复位的话,优点就是可以直接用触发器异步复位端,相对同步复位来说又简单又省逻辑资源,但其致命缺点就是复位结束也就是释放的时刻恰在时钟上升沿的建立时间和保持时间之间时无法决定现在的复位状态是1还是0,造成亚稳态。

因此最好全局复位信号送出之前做一个“异步复位,同步释放”的处理。
下面是常见一种方式:
 
module asy_reset( clk, rst_n, rst_nr
 );
input clk;
input rst_n;
output rst_nr;
 
reg rst_nr;
reg rst_nr1; 
         
always @ (posedge clk or negedge rst_n)
  if(!rst_n) 
     rst_nr1<= 1'b0;
  else  
     rst_nr1<= 1'b1; 
            
always @ (posedge clk or negedge rst_n)
  if(!rst_n) 
     rst_nr<= 1'b0;
  else  
     rst_nr<= rst_nr1; 
            
 endmodule 
 
 
下面就可以直接用用rst_nr作为复位信号使用,并且不会造成亚稳态。
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值