iverilog+gtkwave 进行仿真

  边学边记录  

    #number;  为延时number

    

    在initial中控制整个仿真的时间    

    例子:

     initial

     begin

         $dumpfile(“main.vcd”);

         $dumpvars(0,vara);

         #100;     //这样就控制了整个仿真的时间为100s

         $finish;

     end


     

     $dumpfile(filename); 设定转储文件

     $dumpvars(index,var); 设定监视的变量

    

   一个半加器的代码

 

module add(input_a,input_b,answer,carry);
	input input_a;
	input input_b;
	output answer,carry;
	assign answer=input_a^input_b;
	assign carry=input_a&input_b;
endmodule
module HELLO_TEST;
	reg iclk=0;
	reg a=0,b=0;
	wire c,d;
	always begin #1; iclk=!iclk; a=iclk; end
	always begin #3; b=!b; end
	add temp_add(.input_a(a),.input_b(b),.answer(c),.carry(d));
	initial
	begin
		$dumpfile("main.vcd");
		$dumpvars(0,temp_add);
		#100;
		$finish;
	end
endmodule

进行仿真的makefile

  

main.vcd:main.v
	iverilog main.v
	./a.out
	gtkwave main.vcd

222217_zukQ_870108.png

转载于:https://my.oschina.net/000quanwei/blog/316751

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值