1verilog 位拼接

位拼接还可以用重复法来简化表达式。见下例: 
{4{w}}             //这等同于{w,w,w,w} 
位拼接还可以用嵌套的方式来表达。见下例: 
{b,{3{a,b}}}     //这等同于{b,a,b,a,b,a,b} 
用于表示重复的表达式如上例中的4和3,必须是常数表达式。

转载于:https://www.cnblogs.com/TFH-FPGA/p/3911740.html

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值