verilog将信号和常数拼接起来

正确的拼接

1

s_axis_data_tdata = {32'b0000_0000_0000_0000_0000_0000_0000_0000,32'b0011_1111_1000_0000_0000_0000_0000_0000};

2

注意,信号的两部分都要用{}花括号括起来

s_axis_data_tdata = {{32{1'b1}},{32'b0100_0000_0000_0000_0000_0000_0000_0000}};

3

循环拼接也是允许的

s2p_output = {s2p_output, short_fft_buf[j][( cnt - parallel_num)*data_length*2  +: data_length*2]};

错误的拼接

1

这样的话会变成32个1bit的1和一个32位的数据进行拼接。我猜测是太复杂了verilog不支持。我de了大概20分钟这个问题。

s_axis_data_tdata = {32{1'b1},32'b0100_0000_0100_0000_0000_0000_0000_0000};

  • 2
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值