uvm实操第一讲,初识uvm,用UVM打印hello word

必备软件:

1、vcs要安装成功;

2、uvm环境要设置好;

没有的,可以私信我!

需求,uvm实验一:初识uvm

利用uvm打印一个hello world

操作步骤:

第一步,首先在命令行输入 gvim hello_uvm.sv,敲入下面代码

`include "uvm_pkg.sv"
`include "uvm.sv"

module hello_uvm;
  import uvm_pkg::*;

  `include "uvm_macros.svh"

  initial begin 
    `uvm_info("info1", <
  • 2
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

小白蒋博客

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值