必备软件:
1、vcs要安装成功;
2、uvm环境要设置好;
没有的,可以私信我!
需求,uvm实验一:初识uvm
利用uvm打印一个hello world
操作步骤:
第一步,首先在命令行输入 gvim hello_uvm.sv,敲入下面代码
`include "uvm_pkg.sv"
`include "uvm.sv"
module hello_uvm;
import uvm_pkg::*;
`include "uvm_macros.svh"
initial begin
`uvm_info("info1", <