吾爱IC社区一周岁了,mark下

吾爱IC社区一周岁了,mark下!(免费送书!)

文章右侧广告为官方硬广告,与吾爱IC社区无关,用户勿点。点击进去后出现任何损失与社区无关。

转眼间,小编的公众号已经一岁了。对的,就是去年的今天,小编发布了第一篇原创技术文章。截止至今天,总共发布78 篇原创技术分享类文章,主要内容涉及数字后端 Floorplan,Powerplan,Placement,时钟树综合 CTS,Route,ECO,静态时序分析 STA,物理验证 PV 等内容。

在这个特殊的日子里,怎么也得搞个小活动,感谢各位老铁们一年以来的陪伴和支持。来吧,看好下面两个活动。

活动 1: 星球门票从原来的208 元临时调整为 168 元,限五个名额。

活动 2: 转发本文到朋友圈,集赞排名前五名,均赠送纸质版**《Low Power Flow -Physical Implementation (Back End)》**一本,包邮!

活动说完了,下面进入本周的内容分享。

经常有粉丝问,有没有什么好的数字 IC 后端培训资料? 我经常给出的答案都是,好好看小编公众号的所有技术经验分享,认真研究,彻底搞明白了,整个数字 IC 后端基本上你就都搞清楚了,也不必去报什么培训班了,更不用到处找学习资料。

小编曾经不止一次说过,整个数字 IC 后端最难的应该说是时钟树综合。如果再复杂的时钟结构设计,你都能够轻松快速得到一个高质量的时钟树,那么对你来说,数字 IC 后端的工作就是 so easy。然而实际情况是大部分数字 IC 后端工程师仍然停留在跑 flow,按部就班设置参数来做时钟树综合,甚至不懂如何去 debug 时钟树质量。

今天小编将分享星球上某星友就时钟树综合提问的一个问题。希望大家能够借此问题,自我考核,自我肯定,自我反省。

“关于时钟树综合 cts 这块,面试官会感兴趣哪些方面的问题”

这个问题的答案其实在公众号的历史推文或者星球上的问答,都分享过了,只是答案可能分散在好几篇文章中而已。那么,针对这个问题,小编先以面试官的身份,抛砖引玉,列举一系列时钟树综合相关的问题。

为何要做时钟树综合?时钟树综合的目的是什么?

clock tree 的衡量标准有哪些?

做 clock tree 前要做哪些准备工作?要怎么分析时钟结构?

如何写时钟树综合约束文件 constraint?

时钟的同步异步关系,如何定义同步异步的关系?

如何获知同步异步关系?

如何判断时钟树长的是否合理?如果不合理要怎么处理? 如果发现某个时钟的 clock tree 特别的长,请问可能原因有哪些?

如何 debug 时钟树?一般 debug 时要从哪几方面着手?

如果时钟路径上的一个 mux,一个输入是时钟路径,另外一个输入是 data path,那么在做时钟树综合时,可能出现什么问题?是否需要做特殊处理?

如何对时钟树进行 eco,有什么注意事项?

如何把 tree 做短?

create_clock 和 create_generated_clock 如何长 tree?

如何做 data inter-balance? 如何做 clock 的 inter-balance?

典型的时钟 mux 切换电路,如何写 cts constraint?

**小编知识星球简介 **

在这里,目前已经规划并正着手做的事情:

  • ICC/ICC2 lab 的编写

  • 基于 ARM CPU 的后端实现流程

  • 利用 ICC 中 CCD(Concurrent Clock Data)实现高性能模块的设计实现

  • 基于 ARM 四核 CPU 数字后端 Hierarchical Flow 实现教程

  • 时钟树结构分析

  • 低功耗设计实现

  • 定期将项目中碰到的问题以案例的形式做技术分享

在这里,各位可以就公众号推文的内容或者实际项目中遇到的难题提问,小编会在 24 小时内给予解答(也可以发表你对数字后端设计实现中某个知识点的看法,项目中遇到的难点,困惑或者职业发展规划等)。

反正它是一个缩减版的论坛,增强了大家的互动性。更为重要的是,微信有知识星球的小程序入口。星球二维码如下,可以扫描或者长按识别二维码进入。目前已经有115星球成员,感谢这 115 位童鞋的支持!欢迎各位铁杆粉丝加入!终极目标是打造实现本知识星球全员年薪百万的宏伟目标。(星球的门票即将调整到 228 元 / 年,有需求的朋友趁早上车,**目前价格已经提高至 208 元 / 年,折算每天需要六毛钱 **)

史上最全的数字 IC 后端培训视频链接:

2019 年数字 IC 后端校招笔试题目(附数字后端培训视频教程)

年度经典文章归类(2018.02.27–2019.02.27)

Import design

数字 IC 后端设计实现流程之 initial design

Floorplan&Powerplan

【机密】从此没有难做的 floorplan(数字后端设计实现 floorplan 篇)

数字 IC 后端设计实现 floorplan 及 powerplan 规划

盘点数字后端设计实现用到的各种文件

Placement

想要彻底掌握 placement 各种技巧,这个一定可以如你所愿!

Scan chain reordering 怎么用你知道吗?

数字后端实现时 congestion 比较严重,你 hold 得住吗?

数字后端实现 place 过程进阶

Clock Tree Synthesis

深度解析 Create_clock 与 Create_generated_clock 的区别

数字 IC 后端设计实现之时钟树综合答疑篇

clock jitter 是否对 hold time 有影响?(文末有福利)

为什么时钟树上要用 clock inverter(min pulse width check)

一网打尽时钟树综合 Clock Skew

数字后端设计实现之时钟树综合实践篇

合理的时钟结构能够加速 Timing 收敛(时钟树综合中级篇)

秒杀数字后端实现中 clock gating 使能端 setup violation 问题

数字后端实现时 congestion 比较严重,你 hold 得住吗?

时钟树综合(clock tree synthesis)基础篇

项目后期踩到这些坑,原来可以这么简单处理!(数字后端实现救火篇)

Route

数字后端设计实现中 route 阶段的那些事

如何用工具自动修复数字 IC 后端设计实现绕线后的 Physical DRC?

教你轻松玩转天线效应 (Process Antenna Effect)

项目后期踩到这些坑,原来可以这么简单处理!(数字后端实现救火篇)

ECO

Lockup latch 的用法,看这个就够了!

听说 Latch 可以高效修 hold 违例(Timing borrowing 及其应用)

项目后期踩到这些坑,原来可以这么简单处理!(数字后端实现救火篇)

数字 IC 设计中 ECO 的那些事,其实并不是事!

你与数字后端大神的差距在这里,快来瞧瞧!

Timing

深度揭秘异步复位同步释放原理

深度解析 Create_clock 与 Create_generated_clock 的区别

揭秘为何 net delay 是负值(数字后端实现时序篇)

PBA(Path Base Analysis)想说爱你不容易(静态时序分析基础篇)

【惊呆了!】你居然还在用 flatten 方式进行 timing signoff

听说 Latch 可以高效修 hold 违例(Timing borrowing 及其应用)

CRPR 能补偿 crosstalk 吗?

原来电路最高工作频率是这么算出来的(STA 基础篇)

教你轻松调 DCT 和 ICC 之间 Timing 与 Congestion 的一致性

深入浅出讲透 set_multicycle_path,从此彻底掌握它

Low Power

低功耗设计基础篇

数字 IC 后端设计实现中 Magnment placement 详细用法

这些低功耗设计实现经验,你真的懂了吗?

低功耗设计实现中 secondary power pin 的连接方法汇总

秒杀数字后端实现中 clock gating 使能端 setup violation 问题

基于 Physical Aware 的动态功耗优化实现方案

浅谈数字 IC 低功耗设计的若干种低功耗设计方案

Physical Verification

教你轻松玩转天线效应 (Process Antenna Effect)

LVS 就是这么简单!(数字后端物理验证篇)

IR Drop Analysis

IR Drop 分析之 Redhawk 分析流程

数字 IC 设计后端实现前期预防 IR Drop 的方法汇总

经典数字后端面试问答

数字后端自主命题题目大揭秘(附知识星球本周问答精选)

2019 年数字 IC 后端校招笔试题目(附数字后端培训视频教程)

温度反转效应(文末附 2018 数字 IC 后端最新校招笔试题目)

数字后端面试问答 No.22-24(每日三问)

数字后端面试问答 No.19-21(每日三问)

数字后端面试问答 No.16-18

数字后端面试问答 No.13-15(每日三问)

数字后端面试问答 No.10-12(每日三问)

数字后端面试问题 No.7-9(每日三问)

数字后端面试问答 No.4-6(每日三问)

数字后端面试问答 No.1-3(每日三问)

行业动态 & 福利

今年你们发年终奖了吗? 聊聊年终奖背后的市场逻辑

2019 年数字 IC 校招薪酬火爆出炉,你被倒挂了吗?

我是如何在五年内实现年薪 60 万?

数字 IC 后端培训需求调查

IC 自媒体访谈:吾爱 IC 社区

2018 年最新机器学习实战视频教程

【下载】复旦名师陈果的幸福哲学课

比特大陆裁员背后的一点思考

【粉丝福利】最新热映电影资源

《复仇者联盟 3:无限战争》影视资源下载

15 天零基础入门到精通 python - 最全的视频教程

【大师必备】最全的数字 IC 设计经典书籍电子版下载

【福利】数字 IC 后端各种 Userguide 下载

点击下方 “阅读全文” 了解更多

https://mp.weixin.qq.com/s/iuUzsCL58LD5rKX-7dMIzA

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值