IC自媒体访谈:吾爱IC社区

IC自媒体访谈:吾爱IC社区

文章右侧广告为官方硬广告,与吾爱IC社区无关,用户勿点。点击进去后出现任何损失与社区无关。

很多粉丝应该都知道小编最近比较忙,项目临近 Tapeout,还得帮人理清时钟树结构,编写 CTS Constraint,确实有点忙。等忙好了准备在星球上和大家分享下复杂时钟结构的分析方法以及如何长复杂结构的时钟树。今天主要是受不忘初芯的邀请,做个简单的 IC 自媒体人采访。

1

简单做一下自我介绍吧。比如代号,所在城市,个人职业等。(不需实名)

我就是传说中的吾爱 IC 社区公众号的小编兼号主,目前定居上海,自 2011 年毕业后一直从事数字后端实现方面的工作。

2

公众号是什么时候开始的?

我这个号算是新号。2018 年 2 月 27 日注册,发布了第一篇原创文章。

3

当初为什么要做这样一个公众号?主要针对哪些读者,发送哪些内容呢?

  • 做一个全网覆盖的 IC 社区

读书阶段出于兴趣研究过 SEO(搜索引擎优化),某天不经意在搜索引擎随意搜索下,结果让我非常震惊。居然 IC 类有点模样的网站寥寥无几,而且仅有的几个也丝毫看不到有应用任何的 SEO 技术。看到这个现象有点小失落。所以我立志运营一个公众号和一个网站,期望以后大家在搜索引擎上搜索 IC 后端相关的关键词,都能看到我所分享的文章,同时更希望所分享的文章能够帮助到各位。

  • 锻炼自己的毅力

做公众号的另外一个目的就是锻炼自己的意志力。今年公众号创立之初,自己就设定了目标:分享 100 + 篇数字后端设计实现方面的技术原创文章。同时希望广大粉丝来监督和约束自己的行为,从而达到锻炼,提高自己的毅力。

  • 记录分享自己的经验

通过分享自己在数字后端设计实现领域的工作经验,也能起到复习巩固的作用。这些经验都是源于实际项目工作总结和工作学习,随时时间的推移,原来的一些经验可能也会出现记忆模糊的问题。所以我选择通过分享文章将它们记录下来。同样,若干年回过头来看自己所分享的那些文章,应该还是蛮有成就感的。这种感觉和芯片 Tapeout 后的那种成就感应该是一样的。

  • 认识更多的朋友

正所谓三人行,必有我师焉。通过回答公众号粉丝的问题,我在技术上或多或少会有点收获。因为可能他们的某些问题,我自己可能也没有遇到过。

通过和粉丝的互动交流,认识了更多 IC 行业的新朋友。我一直觉得资源是特别重要的。举个简单的例子,比如哪天他们公司要招像我这个 level 的工程师,大家可能会第一个联系上我,如果刚好我正好也需要这个机会,那岂不是完美。

我的公众号致力于数字后端设计实现中的各种干货分享,主要涉及逻辑综合,布局布线,静态时序分析和物理验证。所以是一个垂直细分领域的公众号,受众群体为从事数字 IC 后端实现(主要涉及 P&R,STA)的工程师或者在校学生。很多粉丝反馈我的公众号内容都是干货,而且特别实用。所以看我公众号的文章,请自备茶水。

4

运营现状如何?比如截止目前发文数量,粉丝数量,每篇文章的平均阅读数。(详细后台数据信息可选)

截止目前,本公众号已经成立近 4 个月,目前已经发表技术原创文章 60 篇,粉丝数量达 1900+,每篇文章的平均阅读量为 420+,最高的阅读量为 1000+。我经常会通过微信公众号后台数据,不断调整公众号的内容,创作出更受众的文章,提高粉丝的黏性。

5

对 IC 行业自媒体有什么看法?或者谈谈未来的发展目标?或者分享做自媒体过程中的一个故事,一点感想?

只要是以原创内容为基础,高度垂直细分的自媒体,一定是有前途的。而且将来的自媒体一定是往内容付费方向发展。

6

有组建微信群吗?有加入其他微信群吗?有什么好的微信群推荐?或者谈谈用微信群做自媒体的优缺点?

公众号创立初期,应粉丝要求建立了微信技术交流群。后面发现微信群存在一定的弊端,比如粉丝们会重复问很多类似的问题,我需要不断去解答。而且微信群有群成员人数的限制。所以,微信群不便于内容积累和沉淀。因此,现在我创建了知识星球,期望所有的交流,经验分享和解答都能够随着时间的推移全部积累下来。若干年后,这里一定是一个宝库。

7

对微信小程序的看法?您有开发小程序吗?

微信小程序自带流量入口,还是看好小程序的。由于时间关系,目前还没自己开发小程序。

8

从公众号推荐一篇值得分享的文章。

揭秘为何 net delay 是负值(数字后端实现时序篇)

数字后端设计实现之时钟树综合实践篇

【机密】从此没有难做的 floorplan(数字后端设计实现 floorplan 篇)

小编知识星球简介:

在这里,目前已经规划并正着手做的事情:

  • ICC/ICC2 lab 的编写

  • 基于 ARM CPU 的后端实现流程(已经发布)

  • 利用 ICC 中 CCD(Concurrent Clock Data)实现高性能模块的设计实现(已经发布)

  • 基于 ARM 四核 CPU 数字后端 Hierarchical Flow 实现教程(准备中)

  • 时钟树结构分析(规划中)

  • 低功耗设计实现(规划中)

  • 定期在星球布置作业题(星球已经支持布置作业功能)

在这里,各位可以就公众号推文的内容或者实际项目中遇到的难题提问,小编会在 24 小时内给予解答(也可以发表你对数字后端设计实现中某个知识点的看法,项目中遇到的难点,困惑或者职业发展规划等)。

星球二维码如下,可以扫描或者长按识别二维码进入。目前已经有四十二位星球成员,感谢这四十二位童鞋的支持!欢迎各位铁杆粉丝加入!终极目标是打造数字 IC 高端社区,实现本知识星球全员年薪百万的宏伟目标。 (星球的门槛将会越来越高,有需求的朋友趁早上车)****

欢迎关注 “吾爱 IC 社区

微信号:ic-backend2018

https://mp.weixin.qq.com/s/my8HFCPpsvheWRrYC838Gw

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值