EBAZ4205矿板使用PS端时钟,给PL端,LED流水灯功能

EBAZ4205矿板使用PS端时钟,给PL端,LED流水灯功能.
矿板PS CLK端口 E7 33.333MHZ
在这里插入图片描述
第一步:点IP INTEGRATOR 里面 Create Block Design 然后点击OK 可以给Design name 修改为shift_led_demo
在这里插入图片描述

第二步骤:添加Add IP
在这里插入图片描述
搜索ZYNQ 双击导入
在这里插入图片描述
在这里插入图片描述
双击ZYNQ图标,展示具体内容
在这里插入图片描述
取消 PS-PL接口的GPIO Master AX0interface

在这里插入图片描述

修改时钟频率
在这里插入图片描述
修改DDR3型号.位宽等参数
在这里插入图片描述
最后点击OK确认完成.

后面有两种方式继续BLOCK_Design 设计,
第一种 给用户PL编写的代码 生产IP核 ,

先仿真demo1编写的代码 shift_led.v 文件放入新建的IP_pre文件夹内。
在这里插入图片描述

点击tools Create and Package New IP 然后选择特点的文件路径找到上面的文件
在这里插入图片描述
在这里插入图片描述
可以自定义修改name Vendor 等
在这里插入图片描述
然后点击Review and Package 点击 Package IP

在这里插入图片描述

然后即可以添加 我们打包好的shift_led_0这个IP
在这里插入图片描述
给PS与我们的IP核 时钟核复位连线,然后LED是需要输出的引脚,选择Make External 输出
在这里插入图片描述

可以修改LED 端口名称
在这里插入图片描述

点击 Run Block Automation
在这里插入图片描述
然后 F6 或者鼠标右键选择Validate Design 看是否报错
在这里插入图片描述

现在第二种方式
给shit_led 复制到这个路径
在这里插入图片描述

点击Sources里面添加上面shift_led.v 文件
在这里插入图片描述
文件加进来以后‘
选择文件 右键选择 Add Module to Block Design
在这里插入图片描述
如下图,这个shift_led_1 和上面第一种打包IP核查找的shift_led_0 模块是一样了,可以给第一种删掉,继续时钟复位连线,后面的操作一样,两种方式任选一即可。

在这里插入图片描述
Generate output Products 是生产.bd 文件下面的点V文件等相关文件

在这里插入图片描述

在这里插入图片描述
比较上图,点击 Create HDL Wrapper之后,多了一个新的顶层文件,shift_led_demo_wrapper
在这里插入图片描述

后面就可以添加管脚文件,编译生产
因为时钟和复位引脚连接到PS端,所以删掉,只保留PL的输出脚,LED[0:3];
在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

ZYNQ_just235

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值