uvm virtual sequence/sequencer

uvm virtual sequence

  • 管理不同接口/agent sequence的顺序
  • 控制多个sequence之间的先后顺序,
  • 没有自己的sequence_item
  • 只能调用其他seuqnce
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述
    启动sequence 不是item
    `uvm_do_on()
    在这里插入图片描述
    在这里插入图片描述
    在env或者testcase连接
    在这里插入图片描述
    在一个sequence运行中启动另外一个sequence
    uvm_event
    在这里插入图片描述
    在这里插入图片描述

在这里插入图片描述
wait_triggered()
在这里插入图片描述

uvm_barrier
在这里插入图片描述
uvm_pool
在这里插入图片描述
联合数组
在这里插入图片描述

grab/ungrab

类似semaphore
在这里插入图片描述

参考 event/barrier/pool

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值