有符号无符号转换

module UsignedToSigned(
    input wire clk,
    input wire rst,
    input wire data_in_en,
    input wire [11:0] data0_in,
    input wire [11:0] data1_in,
    input wire [11:0] data2_in,
    input wire [11:0] data3_in,
    
    output reg data_out_en,
    output reg [11:0] data0_out,
    output reg [11:0] data1_out,
    output reg [11:0] data2_out,
    output reg [11:0] data3_out
    );
    
    always @(posedge clk or negedge rst)begin
        if(rst)begin
            data_out_en <= 0;
        end
        else if(data_in_en == 1'b1)begin
            data_out_en <= 1'b1;
        end
        else begin
            data_out_en <= 0;
        end
    end
    
    always @(posedge clk or negedge rst)begin
        if (rst)begin
            data0_out <= 0;
            data1_out <= 0;
            data2_out <= 0;
            data3_out <= 0;
        end
        else if(data_in_en == 1'b1) begin
            data0_out <= $signed(data0_in);
            data1_out <= $signed(data1_in);
            data2_out <= $signed(data2_in);
            data3_out <= $signed(data3_in);
        end
        else begin
            data0_out <= 0;
            data1_out <= 0;
            data2_out <= 0;
            data3_out <= 0;        
        end
    end  
    
    
endmodule
 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值