slack 时钟_米联客(MSXBO)基于VIVADO的FPGA时序笔记之时钟(二)

本文详细介绍了VIVADO中时钟的相关概念,包括主时钟、虚拟时钟、生成时钟的定义与约束方法,以及时钟组的分类与应用。通过实例展示了如何通过create_clock和create_generated_clock命令进行时序约束,强调了时钟稳定性和抖动对设计的影响,以及如何设置时钟延迟和不确定性。掌握这些知识对于进行FPGA设计和时序分析至关重要。
摘要由CSDN通过智能技术生成

1.1概述

数字设计中,“时钟”表示在寄存器间可靠地传输数据所需的参考时间。Vivado的时序引擎通过时钟特征来计算时序路径需求,通过计算裕量(Slack)的方法报告设计时序空余。时钟必须有合适的定义,包含如下特性:

1)、定义时钟树的驱动管脚或端口,通常称作根或源点。

2)、通过周期和波形属性来描述时钟边沿。

3)、周期(period)以ns为单位进行设定,与波形重复率相关。

4)、波形(waveform)以列表的形式给出,表中包含上升沿和下降沿在周期中的绝对时间,以ns为单位。

如下图给出了两个时钟

Clk0: period=10, waveform={0 5}

Clk1: period=8, waveform = {2 8}

87226ceebe9b519d1beaf3dd4fe4fcb7.png

上述给出的只是时钟的理想特征,实际上当时钟通过外部的晶振或者芯片时钟,通过FPGA的管脚进入FPGA内部逻辑,时钟会产生延迟、飘逸、抖动、失真等现象,所以在硬件设计和软件设计上都必须考虑时钟的影响。

1)、应该增加时钟的稳定性,减少抖动和失真,在一些工业场合还要考虑温度导致的时钟偏移;

2)、高频的时钟应该走专用的FPGA时钟管脚

3)、异步时钟传输数据需要正确采取跨时钟域的解决办法

4)、使用VIVADO软件进行正确的时序约束设计

1.2主时钟Primary Clock

主时钟通常由两个来源:

1)、板级时钟通过输入端口进入设计;

2)、GT收发器的输出管脚(如恢复时钟)。主时钟必须与一个网表对象相连,该对象代表了所有时钟边沿的开始点,并且在时钟树中向下传递。也可以说,主时钟的源点定义了0时刻,Vivado靠此来计算时钟延迟和不确定性。

主时钟只能通过create_clock命令来定义,且必须放在约束的开始,这是因为其它时序约束几乎都要参考主时钟。下面给出两个主时钟的例子。第一个例子如下图所示,采用单端时钟输入:

5980d558e8c7626e61e2857883c8b4fb.png

如上图,板级时钟通过sysclk端口进入FPGA,通过一个输入缓冲器和一个时钟缓冲器后到达寄存器。下面是一些常用的时钟约束定义方法:

1)、定义一个周围为10ns,占空比50%,无相移的时钟

create_clock –name sysclk -period 10 [get_ports sysclk]  #10ns周期,,

2)、定义一个板级时钟devclk,周期10ns,占空比25%相移90°。

create_clock -name devclk -period 10 -wavefor {2.5 5} [get_ports sysclk]

3)、GTP/GTX恢复时钟

高速通信GTP/GTX的时钟是来自于恢复时钟,对于初学者来说可能还不清楚什么是恢复时钟,在做光通信部分的例子就知道了。光通信1条数据通道,可以从1条数据通道中恢复出来传输的时钟,然后用这个时钟同步用户数据就不会出错了。在GT0的引脚上定义rxclk时,gt0 / RXOUTCLK就是由MMCM驱动的所有生成的时钟的时钟源点,

create_clock -name rxclk -period 3.33 [get_pins gt0/RXOUTCLK]

2477e34aff394ec0c8b7d68c4791302f.png

4)、采用差分时钟输入只要约束P端就可以

create_clock -name sysclk -period 3.33 [get_ports SYS_CLK_clk_p]

  • 2
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值