自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(23)
  • 收藏
  • 关注

原创 绩效改进——BEM模型

注:该文主要偏向于方法论绩效=有价值的成效/行为的代价How to be better?1.精益生产(做减法)2.绩效改进(做加法)深入(反复论证研究):管理层浅出(易于执行有效简...

2020-07-08 11:38:14 15038

原创 背景模型的颜色和纹理特征的融合算法

参考文献来源:H. Zhang, D. Xu, “Fusing Color and Texture Features for BackgroundModel,” Third Inte...

2020-05-29 23:30:00 1417 1

原创 Altium Designer原理图中如何旋转、镜像翻转元件

90°旋转原件选中原件按空格键即可镜像翻转元件其实很简单,但是有几个注意事项:1. 输入法切换至英文状态!!!2. 选中该器件时,鼠标左键按住不放,鼠标呈十字状,器件为可移动状态。3. 同时按键盘上的X或Y:X为水平左右翻转;Y为垂直上下翻转。...

2018-06-04 22:57:29 124131 8

原创 URAT通信原理简述 & VHDL程序实现

UARTUART(Universal Asynchronous Receiver/Transmitter)代表通用异步接收器/发送器。 这不是像SPI和I2C这样的通信协议,而是微控制...

2018-05-30 19:29:05 4177

原创 半导体基本知识 & PN结的形成及特性

半导体基本知识本征半导体1. 半导体的导电性根据物体导电能力(电阻率)的不同,来划分导体、绝缘体和半导体。典型的半导体有硅(Si)和锗(Ge)以及砷化镓(GaAs)等。本征半导体是一种完...

2018-05-29 23:19:05 2812

原创 TLC5510、DAC0832、TLC7524 接口电路VHDL程序

TLC5510接口电路VHDL程序--功能:基于VHDL语言,实现对高速A/D器件TLC5510控制library ieee;use ieee.std_logic_1164.all;...

2018-05-29 23:19:05 863

原创 周期信号的傅里叶级数

周期信号的傅里叶级数周期信号三角形式的傅里叶级数1.三角形式的傅里叶级数系数an, bn称为傅里叶系数2.狄里赫利(Dirichlet)条件:条件1:在一个周期内,函数连续或只有有限个第...

2018-05-29 23:19:05 8747

原创 二极管及其简化模型 & 二极管基本电路

二极管及其简化模型二极管(1) 点接触型二极管PN结面积小,结电容小,用于检波和变频等高频电路。(2) 面接触型二极管PN结面积大,用于工频大电流整流电路。二极管的I-V特性二极管的主要...

2018-05-29 23:19:05 10910 1

转载 ADC0809 VHDL控制程序

ADC0809 VHDL控制程序ADC0809简介Connection DiagramsBlock Diagram模拟信道选择工作过程首先输入3位地址,并使ALE=1,将地址存入地址锁存...

2018-05-28 21:14:35 1365

转载 运算放大器的基本线性应用

运算放大器的基本线性应用同相放大电路的一种特殊形式——电压跟随器电压跟随器对电压增益有贡献吗?起到隔离和缓冲的作用同相放大电路的另一种接法反相放大电路1.电路形式2.指标分析例题当增益为...

2018-05-28 21:14:35 1937

转载 运算放大器及其信号放大

运算放大器及其信号放大运算放大器基本特性1.电路符号2.端口意义3.外部电源连接运算放大器正常工作时,必须提供工作电源,通常正负电源的连接方式为4.实际运放外部引脚实例5.运算放大器的电...

2018-05-28 21:14:35 3264

转载 放大电路模型

放大电路模型1.信号放大时电路的一般构成需要供电电源;是双口网络接地符号的含义电路中的电位参考基准点,定义为零电位也是输入、输出和电源的“共同端”2.放大电路增益形式3.放大电路模型放大...

2018-05-28 21:14:35 3940

转载 FPGA驱动LCD显示中文字符“年”程序

FPGA驱动LCD显示中文字符“年”程序library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use...

2018-05-27 21:20:09 435

转载 信号 & 信号的线性放大

信号1.信号信号是信息的载体或表达形式2. 信号的频谱正弦信号方波信号满足狄里赫利条件,展开成傅里叶级数附狄里赫利条件:1) 函数在任意有限区间内连续,或只有有限个第一类间断点(当t从...

2018-05-27 21:20:09 1677

转载 信号分解为正交函数

矢量的正交分解1.矢量正交两矢量V1与V2正交,夹角为90°两正交矢量的内积为零2.正交矢量集:由两两正交的矢量组成的矢量集合3. 非正交矢量的近似表示及误差4.矢量正交分解:任意N维...

2018-05-27 21:20:09 2044 3

转载 离散系统的差分算子描述

离散系统的差分算子描述差分算子E的定义离散系统的差分算子方程描述离散系统的数学模型是n阶常系数线性差分方程,表示如下:由后向差分方程形式得算子方程:传输算子算子方程也可写成:进一步写成:...

2018-05-26 20:37:45 1100

转载 FPGA 驱动 LED 静态/动态显示

FPGA 驱动 LED 静态显示功能:译码输出模块,LED为共阳极接法library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGI...

2018-05-26 20:37:45 560

转载 信号与系统——基本信号与基本响应

基本信号与基本响应离散信号表示1.图形表示(tk- tk-1)为采样间隔,在图a中为变数;在图b,c中为常数2.解析表示3.集合表示单位脉冲序列1.单位脉冲序列(单位样值序列/单位取样序...

2018-05-25 22:00:32 4738

转载 信号与系统——卷积和

卷积和序列的时域分解任意离散序列 f(k) 可表示为卷积和公式卷积和的定义已知定义在区间 (–∞,∞) 上的两个函数f1(k)和f2k),则定义为f1(k)与f2(k)的卷积和,简称卷积...

2018-05-25 22:00:32 16814

转载 信号与系统——差分方程的建立及经典解法

离散系统的解析描述--建立差分方程1. 差分的定义移位序列:设有序列f(k), 则… , f(k+2), f(k+1), f(k-1),f(k-2),… 等称为f(k)的移位序列。差分运...

2018-05-24 20:52:04 30863 1

转载 处理器-调度的层次&调度算法

处理器调度的层次高级调度:又称长程调度,作业调度• 决定能否加入到执行的进程池中中级调度,又称平衡负载调度• 决定主存中的可用进程集合低级调度:又称短程调度,进程调度• 决定哪个可用进程...

2018-05-23 20:09:25 657

转载 进程-状态&数据描述&管理&模式切换

进程的提出操作系统必须全方位地管理计算机系统中运行的程序因此,操作系统为正在运行程序建立一个管理实体——进程进程的概念进程是一个具有一定独立功能的程序关于某个数据集合的一次运行活动进程是...

2018-05-22 23:33:52 155

转载 多线程技术&KLT与ULT&多线程实现混合策略

单线程结构进程•传统进程是单线程结构进程单线程结构进程的问题单线程结构进程在并发程序设计上存在的问题:• 进程切换开销大• 进程通信开销大• 限制了进程并发的粒度• 降低了并行计算的效率...

2018-05-22 23:33:52 800

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除