Verilog 学习小白笔记(七)

十二章

一、UDP基础知识
1、UDP:User-Defined Primitive用户自定义原语,类似and、nand、or、xor等内置原语//UDP名和端口列表

	primitive<udp_name>(<输出端口名>,(只允许一个输出端口)
	<输入端口名>);
	//端口说明语句
	output<输出端口名>;
	input<输入端口名>;
	reg<输出端口名>;(可选,只有表示时序逻辑才用得到)
	//UDP初始化
	initial <输出端口名>=<值>
	//UDP状态表
	table
		<状态表>
	endtable
	//UDP结束定义
	endprimitive

2、UDP定义规则:
只能采用标量一位输入端口,允许多个输入端口
只能采用一位标量输出端口,在端口列表第一个,只能有一个输出
UDP无法处理z值,默认为x
不支持inout端口
与模块同级

二、表示组合逻辑的UDP
1、表示组合逻辑的UDP根据内部列出的表示输入输出的状态表,有输入确定输出

primitive udp_and(out,a,b)
	output out;
	input a,b;
	table
	      //a,b:out;//输入顺序要与端口列表一致
		0 0:0;
		0 1:0;
		1 0:0;
		1 1:1;
	endtable
	endprimitive

2、表中没有的状态输出则为x
3、当输入中有一个的值与输出无关时,写为?
4、UDP调用与门级原语相同

三、表示时序逻辑的UDP
1、输出必须声明为reg
2、也可以用initial初始化
3、状态输入项可以是电平或者是跳变的形式
4、当前状态即为寄存器的当前值
5、状态表格式
<输入1> <输入2>…<输入n>:<当前状态>:<下一状态>;
6、必须列出所有输入组合
7、电平敏感的表示时序逻辑的UDP

//电平敏感锁存器
primitive latch(q,d,clock,clear);
output q;
reg q;
input d,clock,clear;
initial
	q=0;
table
//d  clock clear :q :q+
  ?   ?      1   :? : 0;
  1   1      0   :? : 1;
  0   1      0   :? : 1;
  ?   0      0   :? : -;//如果clock=0,保持原状态不变
endtable
endprimitive

8、边沿敏感表示的时序逻辑的UDP

primitive  edg_dff(output reg q=0,
		   input d,clock, clear);
table
	?  ?  1  : ?: 0;
	?  ? (10): ?: -;
	1 (10) 0 : ?: 1;
	0 (10) 0 : ?: 0;
	? (1x) 0 : ?:-;
	? (0?) 0 : ?:-;
	? (x1) 0 : ?:-;
      (??) ?   0 : ?:-;
endtable
endprimitive

9、状态表的同一行不准同时有多个跳变

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值