基于FPGA的时间数字转换(TDC)设计(二:多相位设计)

1、多相位TDC计时FPGA代码设计
接上期的讲解,本期主要讲多相位TDC计时的FPGA代码实现。图1为TDC测量实现系统图。时间信号经过探测器后,转换为电信号,一般探测器出来的信号幅度和脉宽都比较小,需要时间鉴别器进行比较和整形,以便于FPGA能够识别。经过FPGA TDC计时模块后,测量出两信号的时间差。将打包好的数据,通过USB2.0接口传输给上位机软件。

图1 TDC测量实现框图

在本方案中,TDC计时设计的时间分辨率为312.5ps,主频为400Mhz(2.5ns),采用8相位设计,这样就可以满足设计要求了。根据笔者经验,多相位采用Xilinx FPGA芯片最多能做到16相位,最小分辨率大概在156ps左右。读者可以在评论中留言讨论或者向笔者索要工程文件。图2为多相位TDC计时实现FPGA代码架构,主要由时钟产生模块,TDC模块等组成。

  图2 多相位TDC计时实现FPGA代码架构

1.1 多相时钟产生模块

时钟模块负责产生

  • 7
    点赞
  • 33
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

SDAU2005

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值