Verilog——反相器

反相器符号:

反相器真值表:

反相器电路原理图:

反相器Verilog代码:

'timescale 1ns/10ps//1ns为时间单位,10ps的精度

//定义module名字为inv
module inv(

        A,
        Y
        );//A,Y是端口

//定义端口属性
input     A;
output    Y;

//定义输入输出关系
assign   Y=~A;//Y等于A的反

endmodule

测试代码 testbench of inv

module inv_tb;
reg     aa;//定义input 变量
wire    yy;//定义output 变量

inv          inv(
                    .A(aa),
                    .Y(yy)

                );//前面的.表示A和Y要接什么,异名例化

//A变,观察Y
//initial语句块按时间定义各个变量的值

initial begin
        aa<=0;
    #10 aa<=1;   //过10个时间单位,aa变成1
    #10 aa<=0;
    #10 aa<=1;
    #10 $stop;


end


endmodule

八位反相器Verilog代码

module inv(

        A,
        Y
        );

input[7:0]       A;//位宽八位
output[7:0]      Y;

assign   Y=~A;

endmodule

电路原理图:

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值