60微程序控制单元的设计步骤

微程序控制单元的设计步骤:微程序单元设计的主要任务是编写各条机器指令所对应的微程序,具体设计步骤有以下三步:
1、 写出对应机器指令的微操作命令及节拍安排。无论是组合逻辑设计,还是微程序设计,对应相同的CPU结构,两种控制单元的微操作命令和节拍安排都是极相似的,如微程序控制单元在取指阶段发出的微操作命令及节拍安排如下:
在这里插入图片描述

与硬布线控制单元相比,只在T2节拍内的微操作命令不同。微程序控制单元在T2节拍内要将指令的操作码送至微地址形成部件,即OP(IR)微地址形成部件,以形成该条机器指令的微程序首地址。而硬布线控制单元在T2节拍内要将指令的操作码送至指令译码器,以控制CU发出相应的微命令,即OP(IR)ID。
在这里插入图片描述
在这里插入图片描述

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

光怪陆离的节日

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值