多循环操作状态机

1.1 简介

设计一个在多个时钟周期内部执行同样的操作,可以利用时钟的嵌套,例如设计一个4样值累加器。就是一个状态机在数据通道上连续采4个样值进行相加,然后对样值进行求和运算。

1.2 电路实现

4样值采样加法器verilog代码:

// 设计一个4样值加法器
module add_4_cycle(date,clk,rest,sum);
	// 参数定义
	parameter WIETH = 32;
	// 端口定义
	input [3:0] date;
	input clk;
	input rest;
	output [6:0] sum;
	reg [6:0] sum;
	// 功能实现
	always @ (posedge clk,negedge rest) begin :add_loop

		if(!rest) 
			disable add_loop;
		else
			sum <= date;
		@(posedge clk ) if(!rest) 
			disable add_loop;
		else
			sum <= sum + date;
		@(posedge clk ) if(!rest) 
			disable add_loop;
		else
			sum <= sum + date;
		@(posedge clk ) if(!rest) 
			disable add_loop;
		else
			sum <= sum+date;
	end
	
endmodule

1.3 testbench

// 测试程序
module add_4_cycle_tb();
	// 参数定义
	parameter WIETH = 32;
	// 激励端口定义
	reg [3:0] date;
	reg clk;
	reg rest;
	wire[6:0] sum;
	// 模块例化
	add_4_cycle U1 (.date(date),.clk(clk),.rest(rest),.sum(sum));

	// 参数的初始化
	initial begin
		rest = 0;
		clk =0;
	end
	// 时钟模块

	always #5 clk = ~clk;

	// task_date
	task signal_creat();
		input [15:0] date_in;
		@(posedge clk) date = date_in[3:0];
		@(posedge clk) date = date_in[7:4];
		@(posedge clk) date = date_in[11:8];
		@(posedge clk) date = date_in[15:12];
	endtask

	// test
	initial begin
		#3 rest = 1;
		signal_creat(16'b0001_0101_0010_1110);
		signal_creat(16'b0101_1100_0101_1101);
		#10 $finish;

	end
	
	// VPD
	initial begin
		`ifdef DUMP_VPD
			$vcdpluson();
		`endif
	end

endmodule

1.5 仿真结果

在这里插入图片描述

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值