gige vision协议栈

大半年的成果—gige vision协议栈
那么接下来是开源呢还是做商业IP
目前已实现:
1.Dhcp分配IP
2.lla 分配IP
3.静态force IP
4.raw 8 流数据,其他图像格式未来实现
5.gvcp控制协议全部实现
6.ARP协议
7 ICMP协议 支持ping相机
未实现:
1.IEEE1588时间同步
2.chunk附加图像数据
3.硬件action commond命令

gige vision 千兆网工业相机协议栈成功实现
接下来是将高级功能IEEE1588时间同步加上
全源码开发,自己一行一行代码编写,一本本手册查看,gvcp采用lwip软实现,gcsp才有rtl硬件实现,接下来需要补充文档与将相关工具开发完善。

gige vision实现了,usb 3 vision还远么
贴个彩蛋。
usb 3 vision

在这里插入图片描述
在这里插入图片描述

  • 6
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 10
    评论
GigE Vision协议是一种用于工业相机的远程图像传输和控制的标准通信协议,其核心思想是通过以太网传输图像和控制信号。GigE Vision协议的设计主要参考了以太网和其他图像传输协议,旨在提供高带宽、低延迟和稳定可靠的图像数据传输。 GigE Vision协议的PDF文件是该协议的详细参考文档,其中包含了该协议的工作原理、规范要求、通信机制、命令格式等详细说明。通过阅读该协议的PDF文件,用户可以了解到GigE Vision协议的使用方法和技术细节,从而更好地理解和应用该协议。 在GigE Vision协议的PDF文件中,主要包括以下内容: 1.协议概述:介绍了GigE Vision协议的背景、目标和特点,以及它与其他图像传输协议的比较。 2.协议结构:详细介绍了GigE Vision协议的通信结构和数据流程,包括图像数据的传输、命令和控制信号的传送等。 3.数据格式:说明了GigE Vision协议所支持的图像数据格式,包括像素深度、图像分辨率、图像采集方式等。 4.命令和控制:介绍了GigE Vision协议中用于相机控制和参数设置的命令格式和相关指令,包括相机状态查询、参数调整等。 通过阅读GigE Vision协议的PDF文件,用户可以更好地掌握该协议的使用方法和技术要点,从而能够更好地应用于工业相机的控制和图像传输方面。同时,了解协议的细节还能够帮助用户解决在实际应用中可能遇到的问题,并进行相应的调试和优化。
评论 10
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值