Icarus Verilog与GTKWave简介及其下载安装

一. 简介

当前最流行的Verilog仿真软件分别是Mentor公司的Questasim/ModelSim,Cadence公司的NC-Sim/NC-Verilog 和 Synopsys公司的VCS。这些软件的特点是功能强大,操作较复杂,上手困难以及收费,对初学者来说这些软件不那么友好。这里介绍一款适合初学者的轻量级Verilog仿真平台:Icarus Verilog + GTKWave,前者是个仿真器,后者是个波形查看器。

Icarus Verilog,简称iverilog,号称是“全球第四大”数字芯片仿真器,并且完全开源,国外很多高校在数字芯片设计的教学中都采用iverilog。

GTKWave是一个开源的波形文件查看器,支持Verilog VCD/EVCD文件格式。

因此,通过 iverilog + GTKWave 的方式,可以很方便地实现商用仿真器的功能。

二. 下载

Windows版Icarus Verilog下载官网:http://bleyer.org/icarus/

image-20210304212101417

三. 安装

双击exe文件安装。

image-20210304212144073

image-20210304212206264

image-20210304212300862

image-20210304212345989

image-20210304212409964

image-20210304212546762

image-20210304212623578

image-20210304212636233

image-20210304212712007

四. 注意

1.iverilog没有gui界面,是通过命令行工具调用运行的,所以它的桌面快捷方式双击打开就是它的安装文件夹,而不像其它软件一样,双击打开是软件界面。

image-20210304213536251

2.检查用户/系统环境变量PATH中是否包含了iverilog和GTKWave的bin文件夹路径,两个软件的可执行程序都在各自的bin文件夹中,需要添加到PATH(用户PATH或系统PATH随便哪个都行)中,以便在cmd中直接调用。

image-20210304232745519

  • 4
    点赞
  • 29
    收藏
    觉得还不错? 一键收藏
  • 5
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值