按键消抖电路设计

本文介绍了如何使用Verilog设计一个按键消抖电路,确保抖动小于15ms。通过12MHz的时钟,设计思路是利用计数器来判断按键按下时间,只有超过15ms的按键按下才被视为有效信号。
摘要由CSDN通过智能技术生成

用Verilog实现按键抖动消除电路,抖动小于15ms,输入时钟 12MHz

设计思路:
使用计数器,计算按键时间,如果大于15ms,则认为是有效信号,若小于15ms,则认为是无效信号

12MHz 1 clk =83ns 15ms/83ns =180722 = 0x2C1F2

module rebounce(
input clk,
input rst_n,
input key_in,
output key_out
);
reg key_out;
reg key_in_dly;
reg [19:0] cnt
  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值