Siloti/KDB is what?

The Siloti™ Visibility Automation System transforms your verification methodology by eliminating the overhead associated with recording data for all the signals in a design. Unique automation technology in the Siloti system provides full visibility of internal signals for complex IC and SoC designs by:

  • Identifying the minimal set of signals that must be recorded
  • Generating “on-demand” the rest of the signal data Correlating
  • gate-level results to the RTL source code
    在这里插入图片描述

VCS uses VCS compiler scripts to compile the Knowledge Database (KDB) for Verdi. When you specify the -kdb option, VCS creates the Verdi KDB and dumps the design into the libraries specified in the synopsys_sim.setup file.

You can generate the Verdi KDB using the VCS -kdb option either in the VCS two-step flow or three-step flow.
To determine which databases are to be generated, specify one of the following arguments with the -kdb option:

-kdb=only

Generates only the Verdi KDB that is needed for both post-process and interactive simulation debug with Verdi.
In VCS two-step flow, this option does not generate the VCS compile data/executable, and does not disturb the existing VCS compile data/executables.
For example,

% vcs -kdb=only <compile_options> <source files> -lca

In VCS three-step flow, this option dumps the minimum data required at analysis stage, and does not disturb the existing VCS compile data/executables.

% vlogan –kdb=only <vlogan_options> <source files>
  • 1
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值