【verilog】b站-[Verilog HDL] Installing and Testing Icarus Verilog + GTKWave 笔记

〇、前情提要

In this lesson we’ll go through the installation (Windows) for Icarus and GTKWave and write a very simple, hello world, style module and testbench.
参考:

  1. b站视频 - [Verilog HDL] Installing and Testing Icarus Verilog + GTKWave
    https://www.bilibili.com/video/BV11v41117Bn
    youtube原视频:
    https://www.youtube.com/watch?v=3Xm6fgKAO94
  2. icarus verilog官网
    iverilog.icarus.com/
  3. icarus verilog - 下载页
    bleyer.org/icarus/

一、icarus verilog

下载

icarus verilog - 下载页
bleyer.org/icarus/

在这里插入图片描述

安装</
  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值