在Mac中配置了iverilog仿真一些小型、典型电路设计。
在.sh脚本内容如下:
echo "开始编译"
iverilog -o wave div_frq.v div_frq_tb.v
echo "编译完成"
vvp -n wave -lxt2
echo "生成波形文件"
cp wave.vcd wave.lxt
echo "打开波形文件"
# gtkwave wave.vcd
open wave.vcd
但执行到 vvp -n wave -lxt2 命令,输出 LXT2 info: dumpfile wave.vcd opened for output. 后一直没反应。
问题在于:
- testbench中需加入如下语句:
initial begin
$dumpfile("wave.vcd");
$dumpvars(0, tb_filename); // tb的模块名
end
- testbench中initial需要设置$finish
initial #10000 $finish;