iverilog仿真vvp命令后无响应

在Mac中配置了iverilog仿真一些小型、典型电路设计。

在.sh脚本内容如下:

echo "开始编译"
iverilog -o wave div_frq.v div_frq_tb.v
echo "编译完成"

vvp -n wave -lxt2 
echo "生成波形文件"
cp wave.vcd wave.lxt

echo "打开波形文件"
# gtkwave wave.vcd
open wave.vcd

但执行到 vvp -n wave -lxt2 命令,输出 LXT2 info: dumpfile wave.vcd opened for output. 后一直没反应。

问题在于:

  1. testbench中需加入如下语句:
initial begin
    $dumpfile("wave.vcd");
    $dumpvars(0, tb_filename);	// tb的模块名
end
  1. testbench中initial需要设置$finish
initial #10000 $finish;
  • 2
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值