https://blog.csdn.net/qq_31935691/article/details/54977668
fork join_none起多个进程代码示例:
`timescale 1ns/1ps
class c_test;
function new();
endfunction
function void aa();
for(int i = 0; i < 3; i++) begin
automatic int j;
j = i;
fork
$display("%d", j);
join_none
end
endfunction
endclass
program automatic p_test();
c_test mo_test;
initial begin
mo_test = new();
$display(“hello word!”);
mo_test.aa();
#1000;
$finish;
end
endprogram
仿真结果: