systemverilog基础知识学习

https://blog.csdn.net/qq_31935691/article/details/54977668

fork join_none起多个进程代码示例:
`timescale 1ns/1ps
class c_test;

function new();
endfunction

function void aa();
for(int i = 0; i < 3; i++) begin
automatic int j;
j = i;
fork
$display("%d", j);
join_none
end
endfunction

endclass

program automatic p_test();
c_test mo_test;

initial begin
mo_test = new();
$display(“hello word!”);
mo_test.aa();
#1000;
$finish;
end

endprogram

仿真结果:

hello word!

2

1

0

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值