FPGA工程师笔试面试题(三)

这是一篇关于FPGA工程师面试笔试的题目集合,涵盖了时序电路分析、逻辑门级设计、CMOS电路、逻辑表达式简化、电路实现等多个方面。包括了如何决定最大时钟因素、找出关键路径、门级电路设计、逻辑函数化简、全加器实现等经典问题。
摘要由CSDN通过智能技术生成

1、 给出某个一般时序电路的图,有Tsetup,Tdelay,Tck->q,还有 clock的delay,写出决
定最大时钟的因素,同时给出表达式。(威盛VIA 2003.11.06 上海笔试试题)

4、给出一个门级的图,又给了各个门的传输延时,问关键路径是什么,还问给出输入,使得输出依赖于关键路径。

6、卡诺图写出逻辑表达式(威盛VIA 2003.11.06 上海笔试试题)

7、化简F(A,B,C,D)= m(1,3,4,5,10,11,12,13,14,15)的和。(威盛)

8、画出NOT,NAND,NOR的符号,真值表,还有transistor level的电路。(Infineon笔试)

9、画出CMOS的图,画出tow-to-one mux gate。(威盛VIA 2003.11.06 上海笔试试题)
10、用一个二选一mux和一个inv实现异或。(飞利浦-大唐笔试)

11、画出Y=A*B+C的cmos电路图。(科广试题)

12、用逻辑们和cmos电路实现ab+cd。(飞利浦-大唐笔试)

13、画出CMOS电路的晶体管级电路图,实现Y=A*B+C(D+E)。(仕兰微电子)

  • 0
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值