流水灯-状态机设计

/*
复位按键按下,全部熄灭,复位按键放开后
第一个灯点亮熄灭,第2个灯点亮熄灭,第3个灯点亮熄灭,第4个灯点亮熄灭,继续循环
*/

module led2(
	input									clk,
	input									rst_n,
	output reg  [3:0] 		pio_led
);
reg [1:0] state;
reg [3:0] cnt;
reg clk_slow;
always@(posedge clk or negedge rst_n)
	if(!rst_n)
		begin
			cnt<=0;
			clk_slow<=0;
		end
	else begin
		if(cnt<12)
			cnt<=cnt+1;
		else begin
			cnt<=0;
			clk_slow<=~clk_slow;
		end		
	end


always@(posedge clk_slow or negedge rst_n)
	if(!rst_n)
		begin
			pio_led<=4'b1111;
			state<=0;
		end
	else
		begin
			case(state)
				0:	begin
									state<=1;
									pio_led<=4'b0111;								
						end
				1:		begin
									state<=1;
									pio_led<=4'b1011;								
						end
				2:	begin
									state<=1;
									pio_led<=4'b1101;								
						end
				3:	begin
									state<=1;
									pio_led<=4'b1110;								
						end
				default:state<=0;
			endcase
		end
endmodule
`timescale 1ns/1ns
module led2_tb;
	reg								clk;
	reg								rst_n;
	wire      [3:0] 		pio_led;

led2 led2(
	.clk		(clk	         ),
	.rst_n		(rst_n	         ),
	.pio_led	(pio_led         )
);

initial begin
clk=0;
rst_n=0;
#1000.1 rst_n=1;
end

always #10 clk=~clk;




endmodule

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值