FPGA按键消抖电路

本文介绍了使用Verilog在FPGA中实现按键消抖电路的方法,通过计数器来达到消除抖动的目的。电路设计简洁,具有良好的移植性。在1kHz的频率下,设置50个时钟周期的阈值以应对5毫秒的抖动时间。
摘要由CSDN通过智能技术生成

FPGA按键消抖电路

计数器的方式实现按键消抖的功能,模块简洁,移植性好。

计数器按键消抖电路

用Verilog实现按键抖动消除电路,假设频率1khz,抖动时间为5面试,所以抖动的时钟周期是50个,所以计数器阈值是50.

代码和仿真

  • Design source
module glitch2(
input clk,
input rst,
input key_in,
output reg key_out
    );

parameter freq=10;//单位khz
parameter jitter=5;//单位ms
parameter cnt_th=freq*jitter;//计数器阈值

reg [19:
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值